Bochlin / vunit-test-explorer

VUnit test explorer for VSCode
MIT License
12 stars 5 forks source link

Fix "Run all tests" not running any tests #4

Closed Gzah92 closed 4 years ago

Bochlin commented 4 years ago

I'm wondering why the tests won't run. The wildcard should match all test cases. I don't mind merging the change, I would just like to understand why the tests won't run for you (works on my Windows machine with VUnit 4.3.0).

There are gaps in the testing though, i.e. no test are implemented and I have only tested manually locally.

Gzah92 commented 4 years ago

I was suprised by this as well - but "*" alone doesn't execute any tests on my Ubuntu 18.04 with VUnit 4.0.8, I updated to the current one in Pip (4.3.0) and VUnit stopped working entirely, I have to investigate further.

Gzah92 commented 4 years ago

Even with the current master of VUnit (4.3.0rc1, commit 85eab62b0d4405dd727fa1271645523da5e4e23e) the behavior is the same on my Ubuntu machine:

gzah@gzah-Laptop-Ubuntu:~/github/vunit-test-explorer/src/test/test_proj$ python3 run.py *
Re-compile not needed

No tests were run!
gzah@gzah-Laptop-Ubuntu:~/github/vunit-test-explorer/src/test/test_proj$ python3 run.py
Re-compile not needed

Starting testlib.tb_test0.test1
Output file: vunit_out/test_output/testlib.tb_test0.test1_450085dd9c55d2f59ee43da84ab63a9c8efca5b4/output.txt
pass (P=1 S=0 F=0 T=9) testlib.tb_test0.test1 (0.5 seconds)

Starting testlib.tb_test0.test2
Output file: vunit_out/test_output/testlib.tb_test0.test2_8eb9061fb1e193142b40396b9a830cba99f8ae4d/output.txt
               0 fs - check                -   ERROR - Check failed.
/home/gzah/github/vunit/vunit/vhdl/core/src/core_pkg.vhd:84:7:@0ms:(report failure): Stop simulation on log level error
/usr/local/bin/ghdl:error: report failed
  from: vunit_lib.core_pkg.core_failure at core_pkg.vhd:84
/usr/local/bin/ghdl:error: simulation failed
fail (P=1 S=0 F=1 T=9) testlib.tb_test0.test2 (0.4 seconds)

Starting testlib.tb_test0.test3
Output file: vunit_out/test_output/testlib.tb_test0.test3_8915c3bae25d66c64f998dd9be5ccbc43e744a42/output.txt
pass (P=2 S=0 F=1 T=9) testlib.tb_test0.test3 (0.4 seconds)

Starting testlib.tb_test1.conf2.test1
Output file: vunit_out/test_output/testlib.tb_test1.conf2.test1_5c832ca09aeb898b451dd415e65a9acec8926c8f/output.txt
pass (P=3 S=0 F=1 T=9) testlib.tb_test1.conf2.test1 (0.4 seconds)

Starting testlib.tb_test1.test2
Output file: vunit_out/test_output/testlib.tb_test1.test2_2764b9ef8c65a0bb2f1e02ecf6abed6084612688/output.txt
               0 fs - check                -   ERROR - Check failed.
/home/gzah/github/vunit/vunit/vhdl/core/src/core_pkg.vhd:84:7:@0ms:(report failure): Stop simulation on log level error
/usr/local/bin/ghdl:error: report failed
  from: vunit_lib.core_pkg.core_failure at core_pkg.vhd:84
/usr/local/bin/ghdl:error: simulation failed
fail (P=3 S=0 F=2 T=9) testlib.tb_test1.test2 (0.4 seconds)

Starting testlib.tb_test1.test3
Output file: vunit_out/test_output/testlib.tb_test1.test3_184458bbc9aa531bf644daa8c52b8bde46d0ca42/output.txt
pass (P=4 S=0 F=2 T=9) testlib.tb_test1.test3 (0.5 seconds)

Starting testlib2.tb_test2.test1
Output file: vunit_out/test_output/testlib2.tb_test2.test1_b6b125ff797b10088c9c0706999614f9104f06a0/output.txt
pass (P=5 S=0 F=2 T=9) testlib2.tb_test2.test1 (0.4 seconds)

Starting testlib2.tb_test2.test2
Output file: vunit_out/test_output/testlib2.tb_test2.test2_ea0f9bb01395782b532a0c854e9e44ff1498b30a/output.txt
pass (P=6 S=0 F=2 T=9) testlib2.tb_test2.test2 (0.4 seconds)

Starting testlib2.tb_test2.test3
Output file: vunit_out/test_output/testlib2.tb_test2.test3_be75d378b56913177441d666fceba41971cb9c79/output.txt
pass (P=7 S=0 F=2 T=9) testlib2.tb_test2.test3 (0.5 seconds)

==== Summary ========================================
pass testlib.tb_test0.test1       (0.5 seconds)
pass testlib.tb_test0.test3       (0.4 seconds)
pass testlib.tb_test1.conf2.test1 (0.4 seconds)
pass testlib.tb_test1.test3       (0.5 seconds)
pass testlib2.tb_test2.test1      (0.4 seconds)
pass testlib2.tb_test2.test2      (0.4 seconds)
pass testlib2.tb_test2.test3      (0.5 seconds)
fail testlib.tb_test0.test2       (0.4 seconds)
fail testlib.tb_test1.test2       (0.4 seconds)
=====================================================
pass 7 of 9
fail 2 of 9
=====================================================
Total time was 4.1 seconds
Elapsed time was 4.1 seconds
=====================================================
Some failed!
gzah@gzah-Laptop-Ubuntu:~/github/vunit-test-explorer/src/test/test_proj$

I also checked on a Windows machine, and there it indeed works with the "*" as well as without.