MiSTer-devel / GBA_MiSTer

GBA for MiSTer
GNU General Public License v2.0
142 stars 44 forks source link

Simulation problem #121

Closed ErezBinyamin closed 1 year ago

ErezBinyamin commented 2 years ago

When running the behavioral modelsim simulation described in sim/readme.md and following the steps up to:

  • run "luajit gba_bootrom.lua" or any other .lua testscript

Got Error:

# ** Fatal: (vsim-7) Failed to open VHDL file "armwrestler.gba" in rb mode.
...
# Fatal error in Process line__34 at src/tb/ddram_model.vhd line 122

Tests do not work as described, "armwrestler.gba" does not get fully transmitted. The luajit command in the other window simply prints "Transmitting ROM: armwrestler.gba" and then hangs

ErezBinyamin commented 2 years ago

More complete error dump:

VSIM 1> run -all
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_cpu
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_cpu
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_cpu
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_obj_hd1
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_obj_hd0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_obj
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode345
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode345
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode345
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode2_3_hd1
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode2_3_hd1
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode2_3_hd0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode2_3_hd0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode2_3
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode2_3
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode2_2_hd1
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode2_2_hd1
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode2_2_hd0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode2_2_hd0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode2_2
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode2_2
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode0_3
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode0_3
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode0_2
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode0_2
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode0_1
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode0_1
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode0_0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_drawer/igba_drawer_mode0_0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_memorymux/i_gamepak_cache
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_memorymux/i_gamepak_cache
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_memorymux
# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_reservedregs
# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_reservedregs
# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_reservedregs
# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_reservedregs
# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_reservedregs
# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
#    Time: 0 ps  Iteration: 0  Instance: /etb/igba_top/igba_reservedregs
# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
#    Time: 0 ps  Iteration: 1  Instance: /etb/igba_top/igba_reservedregs
# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
#    Time: 0 ps  Iteration: 1  Instance: /etb/igba_top/igba_reservedregs
# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
#    Time: 0 ps  Iteration: 1  Instance: /etb/igba_top/igba_reservedregs
# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
#    Time: 0 ps  Iteration: 1  Instance: /etb/igba_top/igba_reservedregs
# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
#    Time: 0 ps  Iteration: 1  Instance: /etb/igba_top/igba_reservedregs
# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
#    Time: 0 ps  Iteration: 1  Instance: /etb/igba_top/igba_reservedregs
# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
#    Time: 10 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpioRTCSolarGyro
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 10 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_colorshade
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 10 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_colorshade
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 10 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_colorshade
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 10 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_colorshade2
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 10 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_colorshade2
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 10 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpu/igba_gpu_colorshade2
# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
#    Time: 20 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpioRTCSolarGyro
# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
#    Time: 30 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpioRTCSolarGyro
# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
#    Time: 40 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpioRTCSolarGyro
# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
#    Time: 50 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpioRTCSolarGyro
# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
#    Time: 60 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpioRTCSolarGyro
# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
#    Time: 70 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpioRTCSolarGyro
# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
#    Time: 80 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpioRTCSolarGyro
# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
#    Time: 90 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpioRTCSolarGyro
# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
#    Time: 500 ns  Iteration: 0  Instance: /etb/igba_top/igba_gpioRTCSolarGyro
# ** Note: received
#    Time: 222510 ns  Iteration: 0  Instance: /etb/iddrram_model
# ** Note: armwrestler.gba
#    Time: 222510 ns  Iteration: 0  Instance: /etb/iddrram_model
# ** Fatal: (vsim-7) Failed to open VHDL file "armwrestler.gba " in rb mode.
# No such file or directory. (errno = ENOENT)
#    Time: 222510 ns  Iteration: 0  Process: /etb/iddrram_model/line__34 File: src/tb/ddrram_model.vhd
# Fatal error in Process line__34 at src/tb/ddrram_model.vhd line 122
#
# HDL call sequence:
# Stopped at src/tb/ddrram_model.vhd 122 Process line__34
#
VSIM 2>
RobertPeip commented 1 year ago

You need to put the file in the correct directory, works fine here