Startlink / BOJ-Feature-Request

BOJ 기능 추가 요청
https://www.acmicpc.net
10 stars 0 forks source link

어쩔랭 언어 추가 건의 #216

Closed riroan closed 1 year ago

riroan commented 2 years ago

안녕하세요. 최근 백준에서 엄랭이 추가되어 재미있게 문제풀이 했습니다. 어쩔랭도 추가하면 재밌을거 같습니다.

https://github.com/assertive-lang/asserlang