TheSystemDevelopmentKit / rtl

Package for rtl (i.e. Verilog and VHDL ) simulation control
Other
1 stars 2 forks source link

vhdl_testbench #51

Closed mkosunen closed 1 year ago

mkosunen commented 1 year ago

This PR is for development of VHDL testbench to eventually support VHDL-only simulators.

mkosunen commented 1 year ago

Relates

mkosunen commented 1 year ago

Ping @Roenski , in case you are interested. I will work on this over coming weeks, but we can negotiate about some credits if you are willing to help. The workload is about the same as for verilator C - testbenches would be (which is next on the list :) ).

mkosunen commented 1 year ago

This has been tested with register_tempate and inverter.