Entity instance label starting with "process" gets partially highlighted as the "process" keyword, and it removes highlighting of upcoming process:
Component name or instance label starting with "process" gets partially highlighted as the "process" keyword. Also removes highlighting of upcoming process:
Block label starting with "process" gets partially highlighted as the "process" keyword. Also removes highlighting of upcoming process:
library ieee;
use ieee.math_real.all;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity syntax_highlight_test is
generic (
build_id : natural
);
port (
led : out std_ulogic_vector(0 to 3) := (others => '0')
);
end entity;
architecture a of syntax_highlight_test is
signal clk : std_ulogic := '0';
component processing_component is
port (
clk : in std_ulogic
);
end component;
begin
processing_entity_inst : entity processing_entity
port map (
clk => clk
);
my_process : process
begin
wait until rising_edge(clk);
end process;
processing_component_inst : processing_component
port map (
clk => clk
);
processing : process
begin
wait until rising_edge(clk);
end process;
processing_block : block
constant my_constant : positive := 1;
begin
processing_3 : process
begin
wait until rising_edge(clk);
end process;
dummy : process
begin
wait until rising_edge(clk);
end process;
process
begin
wait until rising_edge(clk);
end process;
end block;
end architecture;
Entity instance label starting with "process" gets partially highlighted as the "process" keyword, and it removes highlighting of upcoming process:
Component name or instance label starting with "process" gets partially highlighted as the "process" keyword. Also removes highlighting of upcoming process:
Block label starting with "process" gets partially highlighted as the "process" keyword. Also removes highlighting of upcoming process:
Problem is present in released version as well as in https://github.com/VHDL-LS/rust_hdl_vscode/pull/66 commit
6e85242: Replacing old grammar.
.Test file content: