g2384 / VHDLFormatter

VHDL formatter web online written in typescript
https://g2384.github.io/VHDLFormatter/
MIT License
51 stars 20 forks source link

ApplyNoNewLineAfter does nothing #18

Open raczben opened 5 years ago

raczben commented 5 years ago

Report a poor code

During running tests I found that VHDLFormatter.ts: ApplyNoNewLineAfter() does nothing, this feature (applying no new line after special words) implemented in VHDLFormatter.ts: SetNewLinesAfterSymbols().

This misleading function should be refactored/removed.

g2384 commented 5 years ago

According to the unit tests (phew, thanks to unit tests, I can still understand what the code does), if user sets "no new line after ;", it can convert

a;
b;

to

a; b;