parallaxsw / OpenSTA

GNU General Public License v3.0
52 stars 23 forks source link

Rename flags in `report_checks` #131

Open akashlevy opened 13 hours ago

akashlevy commented 13 hours ago

Resolves #126

akashlevy commented 13 hours ago

Here's the test case I used to validate. Let me know if I should add it to the test/ directory in a commit. I assume you already have some report_checks test cases which it might be redundant with, so I didn't include it.

# report_checks with -group_path_count and -endpoint_path_count
read_liberty ../examples/sky130hd_tt.lib.gz
read_verilog verilog_attribute.v
link_design counter
create_clock -name clk -period 10 clk
set_input_delay -clock clk 0 [all_inputs -no_clocks]

puts {report_checks -endpoint_count 2}
report_checks -endpoint_count 2
puts {report_checks -group_count 3}
report_checks -group_count 3
puts {report_checks -endpoint_count 4 -group_count 5}
report_checks -endpoint_count 4 -group_count 5
puts {report_checks -endpoint_path_count 6}
report_checks -endpoint_path_count 6
puts {report_checks -group_path_count 7}
report_checks -group_path_count 7