pistoletpierre / language-systemverilog

Atom SystemVerilog language support
MIT License
5 stars 2 forks source link

decreaseIndentPattern does not use \b before end-like keywords #2

Closed KoenGoe closed 5 years ago

KoenGoe commented 5 years ago

'decreaseIndentPattern': "\\s*(end|endfunction|endcase|endmodule|endclass|endtask|endgenerate|endinterface|join|join_any|join_none|endsequence|endproperty|endgroup|endclocking|endprogram|endpackage|endconfig|endtable|endprimitive)\\b"

also matches variable_names_ending_with_end like that one, causing an unwanted decrease in indent whenever such a variable is used.

This should probably be corrected to: "\\s*\\b(end|endfunction|endcase|endmodule|endclass|endtask|endgenerate|endinterface|join|join_any|join_none|endsequence|endproperty|endgroup|endclocking|endprogram|endpackage|endconfig|endtable|endprimitive)\\b"

Note that the grammar also includes this \b word boundary before the end-like keywords

pistoletpierre commented 5 years ago

That should do it. Try updating and giving it a go @KoenGoe

KoenGoe commented 5 years ago

Perfect, thank you!