termux / termux-packages

A package build system for Termux.
https://termux.dev
Other
13.32k stars 3.06k forks source link

Auto update failing for yosys #21738

Closed termuxbot2 closed 2 weeks ago

termuxbot2 commented 1 month ago

Hi, I'm Termux 🤖.

I'm here to help you update your Termux packages.

I've tried to update the yosys package, but it failed.

Here's the output of the update script:

Show log
INFO: Updating yosys [Current version: 0.45]
INFO: package being updated to 0.46.
Downloading https://github.com/YosysHQ/yosys/archive/refs/tags/0.46.tar.gz
  % Total    % Received % Xferd  Average Speed   Time    Time     Time  Current
                                 Dload  Upload   Total   Spent    Left  Speed

  0     0    0     0    0     0      0      0 --:--:-- --:--:-- --:--:--     0
  0     0    0     0    0     0      0      0 --:--:-- --:--:-- --:--:--     0

100 12293    0 12293    0     0  51799      0 --:--:-- --:--:-- --:--:-- 51799
100 2971k    0 2971k    0     0  4612k      0 --:--:-- --:--:-- --:--:-- 7290k

You are about to commit these changes:

--------------------
diff --git a/packages/yosys/build.sh b/packages/yosys/build.sh
index 611379dac..a0b77bdb1 100644
--- a/packages/yosys/build.sh
+++ b/packages/yosys/build.sh
@@ -2,9 +2,9 @@ TERMUX_PKG_HOMEPAGE=https://yosyshq.net/yosys/
 TERMUX_PKG_DESCRIPTION="A framework for RTL synthesis tools"
 TERMUX_PKG_LICENSE="ISC"
 TERMUX_PKG_MAINTAINER="@termux"
-TERMUX_PKG_VERSION="0.45"
+TERMUX_PKG_VERSION="0.46"
 TERMUX_PKG_SRCURL=https://github.com/YosysHQ/yosys/archive/refs/tags/${TERMUX_PKG_VERSION}.tar.gz
-TERMUX_PKG_SHA256=a36cc7943094c8ba0118e0ec38c719d2fb9142fe13833d326fa7ba659911c246
+TERMUX_PKG_SHA256=429966c5ac990bdd82f0084c5afa5f890b144014cc8aa093a184a89339c27937
 TERMUX_PKG_AUTO_UPDATE=true
 TERMUX_PKG_UPDATE_VERSION_REGEXP="\d+\.\d+"
 TERMUX_PKG_DEPENDS="graphviz, libandroid-glob, libandroid-spawn, libc++, libffi, readline, tcl, zlib"
--------------------

bump(main/yosys): 0.46

Not committing to Git!

INFO: Trying to build package.
INFO: Package yosys exists in main repo.
Running container 'termux-package-builder' from image 'ghcr.io/termux/package-builder'...
termux - building yosys for arch aarch64...
Downloading https://packages-cf.termux.dev/apt/termux-main/dists/stable/Release
  % Total    % Received % Xferd  Average Speed   Time    Time     Time  Current
                                 Dload  Upload   Total   Spent    Left  Speed

  0     0    0     0    0     0      0      0 --:--:-- --:--:-- --:--:--     0
100 13133  100 13133    0     0  69982      0 --:--:-- --:--:-- --:--:-- 70229
Downloading https://packages-cf.termux.dev/apt/termux-main/dists/stable/Release.gpg
  % Total    % Received % Xferd  Average Speed   Time    Time     Time  Current
                                 Dload  Upload   Total   Spent    Left  Speed

  0     0    0     0    0     0      0      0 --:--:-- --:--:-- --:--:--     0
100   833  100   833    0     0   5084      0 --:--:-- --:--:-- --:--:--  5110
gpg: Signature made Wed 09 Oct 2024 12:21:30 PM UTC
gpg:                using RSA key CC72CF8BA7DBFA0182877D045A897D96E57CF20C
gpg: Good signature from "Termux Releases (Termux automatic builds) " [ultimate]
gpg:                 aka "Termux Releases (Termux automatic builds) " [ultimate]
Downloading https://packages-cf.termux.dev/apt/termux-main/dists/stable/main/binary-aarch64/Packages
  % Total    % Received % Xferd  Average Speed   Time    Time     Time  Current
                                 Dload  Upload   Total   Spent    Left  Speed

  0     0    0     0    0     0      0      0 --:--:-- --:--:-- --:--:--     0
  1 1617k    1 30606    0     0  52087      0  0:00:31 --:--:--  0:00:31 52051
100 1617k  100 1617k    0     0  1601k      0  0:00:01  0:00:01 --:--:-- 1602k
Downloading https://packages-cf.termux.dev/apt/termux-root/dists/root/Release
  % Total    % Received % Xferd  Average Speed   Time    Time     Time  Current
                                 Dload  Upload   Total   Spent    Left  Speed

  0     0    0     0    0     0      0      0 --:--:-- --:--:-- --:--:--     0
100 13319  100 13319    0     0  76210      0 --:--:-- --:--:-- --:--:-- 76545
Downloading https://packages-cf.termux.dev/apt/termux-root/dists/root/Release.gpg
  % Total    % Received % Xferd  Average Speed   Time    Time     Time  Current
                                 Dload  Upload   Total   Spent    Left  Speed

  0     0    0     0    0     0      0      0 --:--:-- --:--:-- --:--:--     0
100   833  100   833    0     0   4601      0 --:--:-- --:--:-- --:--:--  4602
100   833  100   833    0     0   4598      0 --:--:-- --:--:-- --:--:--  4576
gpg: Signature made Tue 08 Oct 2024 12:44:01 AM UTC
gpg:                using RSA key CC72CF8BA7DBFA0182877D045A897D96E57CF20C
gpg: Good signature from "Termux Releases (Termux automatic builds) " [ultimate]
gpg:                 aka "Termux Releases (Termux automatic builds) " [ultimate]
Downloading https://packages-cf.termux.dev/apt/termux-x11/dists/x11/Release
  % Total    % Received % Xferd  Average Speed   Time    Time     Time  Current
                                 Dload  Upload   Total   Spent    Left  Speed

  0     0    0     0    0     0      0      0 --:--:-- --:--:-- --:--:--     0
100 13119  100 13119    0     0  72788      0 --:--:-- --:--:-- --:--:-- 72883
Downloading https://packages-cf.termux.dev/apt/termux-x11/dists/x11/Release.gpg
  % Total    % Received % Xferd  Average Speed   Time    Time     Time  Current
                                 Dload  Upload   Total   Spent    Left  Speed

  0     0    0     0    0     0      0      0 --:--:-- --:--:-- --:--:--     0
100   833  100   833    0     0   4993      0 --:--:-- --:--:-- --:--:--  5018
gpg: Signature made Wed 09 Oct 2024 12:59:11 AM UTC
gpg:                using RSA key CC72CF8BA7DBFA0182877D045A897D96E57CF20C
gpg: Good signature from "Termux Releases (Termux automatic builds) " [ultimate]
gpg:                 aka "Termux Releases (Termux automatic builds) " [ultimate]
Downloading dependency brotli@1.1.0 if necessary...
Found brotli in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting brotli to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libbz2@1.0.8-6 if necessary...
Found libbz2 in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libbz2 to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency bzip2@1.0.8-6 if necessary...
Found bzip2 in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting bzip2 to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency zlib@1.3.1 if necessary...
Found zlib in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting zlib to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libpng@1.6.44 if necessary...
Found libpng in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libpng to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency freetype@2.13.3 if necessary...
Found freetype in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting freetype to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libexpat@2.6.3 if necessary...
Found libexpat in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libexpat to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency ttf-dejavu@2.37-8 if necessary...
Found ttf-dejavu in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting ttf-dejavu to /home/builder/.termux-build/_cache-all...
Downloading dependency fontconfig@2.15.0 if necessary...
Found fontconfig in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting fontconfig to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency fontconfig-utils@2.15.0 if necessary...
Found fontconfig-utils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting fontconfig-utils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libandroid-support@29 if necessary...
Found libandroid-support in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libandroid-support to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libiconv@1.17 if necessary...
Found libiconv in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libiconv to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency iconv@1.17 if necessary...
Found iconv in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting iconv to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency ncurses@6.5.20240831-1 if necessary...
Found ncurses in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting ncurses to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency ncurses-utils@6.5.20240831-1 if necessary...
Found ncurses-utils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting ncurses-utils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency ncurses-ui-libs@6.5.20240831-1 if necessary...
Found ncurses-ui-libs in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting ncurses-ui-libs to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency ncurses-ui-libs-static@6.5.20240831-1 if necessary...
Found ncurses-ui-libs-static in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting ncurses-ui-libs-static to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency readline@8.2.13 if necessary...
Found readline in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting readline to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency pcre2@10.44 if necessary...
Found pcre2 in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting pcre2 to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency pcre2grep@10.44 if necessary...
Found pcre2grep in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting pcre2grep to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libandroid-selinux@14.0.0.11 if necessary...
Found libandroid-selinux in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libandroid-selinux to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libc++@27b if necessary...
Found libc++ in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libc++ to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libgmp@6.3.0 if necessary...
Found libgmp in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libgmp to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency coreutils@9.5-3 if necessary...
Found coreutils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting coreutils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency resolv-conf@1.3 if necessary...
Found resolv-conf in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting resolv-conf to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency c-ares@1.33.1 if necessary...
Found c-ares in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting c-ares to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libnghttp2@1.63.0 if necessary...
Found libnghttp2 in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libnghttp2 to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libnghttp3@1.6.0 if necessary...
Found libnghttp3 in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libnghttp3 to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency ca-certificates@1:2024.09.24 if necessary...
Found ca-certificates in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting ca-certificates to /home/builder/.termux-build/_cache-all...
Downloading dependency ca-certificates-java@1:2024.09.24 if necessary...
Found ca-certificates-java in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting ca-certificates-java to /home/builder/.termux-build/_cache-all...
Downloading dependency openssl@1:3.3.2 if necessary...
Found openssl in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting openssl to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency openssl-tool@1:3.3.2 if necessary...
Found openssl-tool in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting openssl-tool to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libssh2@1.11.0 if necessary...
Found libssh2 in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libssh2 to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libcurl@8.10.1-1 if necessary...
Found libcurl in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libcurl to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency curl@8.10.1-1 if necessary...
Found curl in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting curl to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency dash@0.5.12 if necessary...
Found dash in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting dash to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency dialog@1.3-20240307-0 if necessary...
Found dialog in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting dialog to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency diffutils@3.10 if necessary...
Found diffutils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting diffutils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency findutils@4.10.0 if necessary...
Found findutils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting findutils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libmpfr@4.2.1 if necessary...
Found libmpfr in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libmpfr to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency gawk@5.3.0 if necessary...
Found gawk in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting gawk to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency grep@3.11 if necessary...
Found grep in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting grep to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency gzip@1.13 if necessary...
Found gzip in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting gzip to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency less@661 if necessary...
Found less in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting less to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency procps@3.3.17-5 if necessary...
Found procps in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting procps to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency psmisc@23.7 if necessary...
Found psmisc in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting psmisc to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency sed@4.9-1 if necessary...
Found sed in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting sed to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libandroid-glob@0.6-2 if necessary...
Found libandroid-glob in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libandroid-glob to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency tar@1.35 if necessary...
Found tar in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting tar to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency termux-am@0.8.0 if necessary...
Found termux-am in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting termux-am to /home/builder/.termux-build/_cache-all...
Downloading dependency termux-am-socket@1.5.0 if necessary...
Found termux-am-socket in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting termux-am-socket to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency termux-exec@1:1.0 if necessary...
Found termux-exec in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting termux-exec to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libblkid@2.40.2-1 if necessary...
Found libblkid in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libblkid to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libmount@2.40.2-1 if necessary...
Found libmount in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libmount to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libsmartcols@2.40.2-1 if necessary...
Found libsmartcols in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libsmartcols to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libuuid@2.40.2-1 if necessary...
Found libuuid in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libuuid to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libfdisk@2.40.2-1 if necessary...
Found libfdisk in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libfdisk to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libcap-ng@2:0.8.5 if necessary...
Found libcap-ng in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libcap-ng to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency util-linux@2.40.2-1 if necessary...
Found util-linux in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting util-linux to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency uuid-utils@2.40.2-1 if necessary...
Found uuid-utils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting uuid-utils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency mount-utils@2.40.2-1 if necessary...
Found mount-utils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting mount-utils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency fdisk@2.40.2-1 if necessary...
Found fdisk in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting fdisk to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency blk-utils@2.40.2-1 if necessary...
Found blk-utils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting blk-utils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency liblzma@5.6.3 if necessary...
Found liblzma in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting liblzma to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency xz-utils@5.6.3 if necessary...
Found xz-utils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting xz-utils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency termux-tools@1.43.6 if necessary...
Found termux-tools in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting termux-tools to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency bash@5.2.37 if necessary...
Found bash in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting bash to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency binutils-bin@2.43.1 if necessary...
Found binutils-bin in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting binutils-bin to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency zstd@1.5.6-2 if necessary...
Found zstd in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting zstd to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency pzstd@1.5.6-2 if necessary...
Found pzstd in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting pzstd to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency binutils-libs@2.43.1 if necessary...
Found binutils-libs in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting binutils-libs to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency binutils-gold@2.43.1 if necessary...
Found binutils-gold in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting binutils-gold to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency binutils-cross@2.43.1 if necessary...
Found binutils-cross in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting binutils-cross to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency binutils@2.43.1 if necessary...
Found binutils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting binutils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency ldd@0.3 if necessary...
Found ldd in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting ldd to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libffi@3.4.6-1 if necessary...
Found libffi in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libffi to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency gdbm@1.24 if necessary...
Found gdbm in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting gdbm to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libandroid-posix-semaphore@0.1-3 if necessary...
Found libandroid-posix-semaphore in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libandroid-posix-semaphore to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libcrypt@0.2-5 if necessary...
Found libcrypt in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libcrypt to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency tcl@8.6.14 if necessary...
Found tcl in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting tcl to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libsqlite@3.46.1 if necessary...
Found libsqlite in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libsqlite to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency sqlite@3.46.1 if necessary...
Found sqlite in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting sqlite to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libsqlite-tcl@3.46.1 if necessary...
Found libsqlite-tcl in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libsqlite-tcl to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency xorg-util-macros@1.20.1 if necessary...
Found xorg-util-macros in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting xorg-util-macros to /home/builder/.termux-build/_cache-all...
Downloading dependency xorgproto@2024.1 if necessary...
Found xorgproto in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting xorgproto to /home/builder/.termux-build/_cache-all...
Downloading dependency libxau@1.0.11 if necessary...
Found libxau in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libxau to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libxdmcp@1.1.5 if necessary...
Found libxdmcp in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libxdmcp to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency xcb-proto@1.17.0-1 if necessary...
Found xcb-proto in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting xcb-proto to /home/builder/.termux-build/_cache-all...
Downloading dependency python-xcbgen@1.17.0-1 if necessary...
Found python-xcbgen in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting python-xcbgen to /home/builder/.termux-build/_cache-all...
Downloading dependency libxcb@1.17.0 if necessary...
Found libxcb in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libxcb to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency xtrans@1.5.0 if necessary...
Found xtrans in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting xtrans to /home/builder/.termux-build/_cache-all...
Downloading dependency libx11@1.8.10 if necessary...
Found libx11 in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libx11 to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libxrender@0.9.11 if necessary...
Found libxrender in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libxrender to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libxft@2.3.8 if necessary...
Found libxft in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libxft to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libxext@1.3.6 if necessary...
Found libxext in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libxext to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libxss@1.2.4 if necessary...
Found libxss in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libxss to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency tk@8.6.14 if necessary...
Found tk in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting tk to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency python@3.12.7 if necessary...
Found python in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting python to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency python-tkinter@3.12.7 if necessary...
Found python-tkinter in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting python-tkinter to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency python-ensurepip-wheels@3.12.7 if necessary...
Found python-ensurepip-wheels in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting python-ensurepip-wheels to /home/builder/.termux-build/_cache-all...
Downloading dependency gobject-introspection@1.80.1-1 if necessary...
Found gobject-introspection in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting gobject-introspection to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency glib@2.80.5-1 if necessary...
Found glib in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting glib to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency glib-cross@2.80.5-1 if necessary...
Found glib-cross in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting glib-cross to /home/builder/.termux-build/_cache-all...
Downloading dependency glib-bin@2.80.5-1 if necessary...
Found glib-bin in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting glib-bin to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency g-ir-scanner@1.80.1-1 if necessary...
Found g-ir-scanner in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting g-ir-scanner to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libjpeg-turbo@3.0.4 if necessary...
Found libjpeg-turbo in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libjpeg-turbo to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libjpeg-turbo-progs@3.0.4 if necessary...
Found libjpeg-turbo-progs in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libjpeg-turbo-progs to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libtiff@4.7.0 if necessary...
Found libtiff in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libtiff to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libtiff-utils@4.7.0 if necessary...
Found libtiff-utils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libtiff-utils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency gdk-pixbuf@2.42.12 if necessary...
Found gdk-pixbuf in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting gdk-pixbuf to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libandroid-execinfo@0.1-1 if necessary...
Found libandroid-execinfo in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libandroid-execinfo to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libandroid-shmem@0.5 if necessary...
Found libandroid-shmem in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libandroid-shmem to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency liblzo@2.10-3 if necessary...
Found liblzo in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting liblzo to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libpixman@0.43.4 if necessary...
Found libpixman in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libpixman to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libcairo@1.18.2 if necessary...
Found libcairo in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libcairo to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libgraphite@1.3.14-2 if necessary...
Found libgraphite in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libgraphite to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libicu@75.1 if necessary...
Found libicu in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libicu to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency icu-devtools@75.1 if necessary...
Found icu-devtools in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting icu-devtools to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency harfbuzz@10.0.1 if necessary...
Found harfbuzz in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting harfbuzz to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency harfbuzz-utils@10.0.1 if necessary...
Found harfbuzz-utils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting harfbuzz-utils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency harfbuzz-icu@10.0.1 if necessary...
Found harfbuzz-icu in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting harfbuzz-icu to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libaom@3.10.0 if necessary...
Found libaom in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libaom to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency aom-tools@3.10.0 if necessary...
Found aom-tools in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting aom-tools to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libdav1d@1.4.3 if necessary...
Found libdav1d in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libdav1d to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libde265@1.0.15 if necessary...
Found libde265 in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libde265 to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency librav1e@0.7.1-1 if necessary...
Found librav1e in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting librav1e to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency rav1e@0.7.1-1 if necessary...
Found rav1e in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting rav1e to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libx265@3.5-p20230222-0 if necessary...
Found libx265 in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libx265 to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency x265@3.5-p20230222-0 if necessary...
Found x265 in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting x265 to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libheif@1.18.2 if necessary...
Found libheif in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libheif to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libheif-progs@1.18.2 if necessary...
Found libheif-progs in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libheif-progs to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency giflib@5.2.2 if necessary...
Found giflib in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting giflib to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency giflib-utils@5.2.2 if necessary...
Found giflib-utils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting giflib-utils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libwebp@1.4.0-rc1-0 if necessary...
Found libwebp in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libwebp to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libgd@1:2.3.3-4 if necessary...
Found libgd in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libgd to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libgts@0.7.6-1 if necessary...
Found libgts in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libgts to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libtool@2.4.7-4 if necessary...
Found libtool in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libtool to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libltdl@2.4.7-4 if necessary...
Found libltdl in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libltdl to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libxml2@2.13.4-1 if necessary...
Found libxml2 in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libxml2 to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libxml2-utils@2.13.4-1 if necessary...
Found libxml2-utils in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libxml2-utils to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libxml2-python@2.13.4-1 if necessary...
Found libxml2-python in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libxml2-python to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libxml2-python-static@2.13.4-1 if necessary...
Found libxml2-python-static in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libxml2-python-static to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency fribidi@1.0.16 if necessary...
Found fribidi in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting fribidi to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency pango@1.54.0 if necessary...
Found pango in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting pango to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency librsvg@2.58.3 if necessary...
Found librsvg in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting librsvg to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency graphviz@12.1.2 if necessary...
Found graphviz in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting graphviz to /home/builder/.termux-build/_cache-aarch64...
Downloading dependency libandroid-spawn@0.3 if necessary...
Found libandroid-spawn in https://packages-cf.termux.dev/apt/termux-main/dists/stable
extracting libandroid-spawn to /home/builder/.termux-build/_cache-aarch64...
Downloading https://github.com/YosysHQ/yosys/archive/refs/tags/0.46.tar.gz
  % Total    % Received % Xferd  Average Speed   Time    Time     Time  Current
                                 Dload  Upload   Total   Spent    Left  Speed

  0     0    0     0    0     0      0      0 --:--:-- --:--:-- --:--:--     0
  0     0    0     0    0     0      0      0 --:--:-- --:--:-- --:--:--     0

100 2720k    0 2720k    0     0  5582k      0 --:--:-- --:--:-- --:--:-- 5582k
100 2971k    0 2971k    0     0  5693k      0 --:--:-- --:--:-- --:--:-- 7404k
Applying patch: kernel-yosys.cc.patch
Applying patch: Makefile.patch
Applying patch: techlibs-easic-synth_easic.cc.patch
[  0%] Building kernel/version_e97731b9dda91fa5fa53ed87df7c34163ba59a41.cc
[  0%] Building kernel/driver.o
[  0%] Building techlibs/common/simlib_help.inc
[  0%] Building techlibs/common/simcells_help.inc
[  1%] Building kernel/rtlil.o
[  1%] Building kernel/log.o
[  1%] Building kernel/calc.o
[  2%] Building kernel/yosys.o
[  2%] Building kernel/binding.o
[  2%] Building kernel/cellaigs.o
[  2%] Building kernel/celledges.o
[  3%] Building kernel/cost.o
[  3%] Building kernel/satgen.o
[  3%] Building kernel/scopeinfo.o
[  4%] Building kernel/qcsat.o
[  4%] Building kernel/mem.o
[  4%] Building kernel/ffmerge.o
[  4%] Building kernel/ff.o
[  5%] Building kernel/yw.o
[  5%] Building kernel/json.o
[  5%] Building kernel/fmt.o
[  6%] Building kernel/sexpr.o
[  6%] Building kernel/drivertools.o
[  6%] Building kernel/functional.o
[  7%] Building kernel/fstdata.o
[  7%] Building libs/bigint/BigIntegerAlgorithms.o
[  7%] Building libs/bigint/BigInteger.o
[  7%] Building libs/bigint/BigIntegerUtils.o
[  8%] Building libs/bigint/BigUnsigned.o
[  8%] Building libs/bigint/BigUnsignedInABase.o
[  8%] Building libs/sha1/sha1.o
[  9%] Building libs/json11/json11.o
[  9%] Building libs/ezsat/ezsat.o
[  9%] Building libs/ezsat/ezminisat.o
[  9%] Building libs/minisat/Options.o
[ 10%] Building libs/minisat/SimpSolver.o
[ 10%] Building libs/minisat/Solver.o
[ 10%] Building libs/minisat/System.o
[ 11%] Building libs/fst/fstapi.o
[ 11%] Building libs/fst/fastlz.o
[ 11%] Building libs/fst/lz4.o
[ 12%] Building libs/subcircuit/subcircuit.o
[ 12%] Building frontends/aiger2/xaiger.o
[ 12%] Building frontends/aiger/aigerparse.o
frontends/aiger2/xaiger.cc:201:15: warning: variable 'box_inputs' set but not used [-Wunused-but-set-variable]
  201 |                                         uint32_t box_inputs, box_outputs, box_id, box_seq;
      |                                                  ^
frontends/aiger2/xaiger.cc:201:40: warning: variable 'box_id' set but not used [-Wunused-but-set-variable]
  201 |                                         uint32_t box_inputs, box_outputs, box_id, box_seq;
      |                                                                           ^
frontends/aiger2/xaiger.cc:340:27: warning: variable 'box_outputs' set but not used [-Wunused-but-set-variable]
  340 |                                         uint32_t box_inputs, box_outputs, box_id, box_seq;
      |                                                              ^
frontends/aiger2/xaiger.cc:340:40: warning: variable 'box_id' set but not used [-Wunused-but-set-variable]
  340 |                                         uint32_t box_inputs, box_outputs, box_id, box_seq;
      |                                                                           ^
4 warnings generated.
[ 12%] Building frontends/ast/ast.o
[ 13%] Building frontends/ast/simplify.o
[ 13%] Building frontends/ast/genrtlil.o
[ 13%] Building frontends/ast/dpicall.o
[ 14%] Building frontends/ast/ast_binding.o
frontends/ast/dpicall.cc:70:72: warning: variable length arrays in C++ are a Clang extension [-Wvla-cxx-extension]
   70 |         union { double f64; float f32; int32_t i32; void *ptr; } value_store [args.size() + 1];
      |                                                                               ^~~~~~~~~~~~~~~
frontends/ast/dpicall.cc:70:72: note: function parameter 'args' with unknown value cannot be used in a constant expression
frontends/ast/dpicall.cc:67:152: note: declared here
   67 | AST::AstNode *AST::dpi_call(const std::string &rtype, const std::string &fname, const std::vector &argtypes, const std::vector &args)
      |                                                                                                                                                        ^
frontends/ast/dpicall.cc:71:19: warning: variable length arrays in C++ are a Clang extension [-Wvla-cxx-extension]
   71 |         ffi_type *types [args.size() + 1];
      |                          ^~~~~~~~~~~~~~~
frontends/ast/dpicall.cc:71:19: note: function parameter 'args' with unknown value cannot be used in a constant expression
frontends/ast/dpicall.cc:67:152: note: declared here
   67 | AST::AstNode *AST::dpi_call(const std::string &rtype, const std::string &fname, const std::vector &argtypes, const std::vector &args)
      |                                                                                                                                                        ^
frontends/ast/dpicall.cc:72:16: warning: variable length arrays in C++ are a Clang extension [-Wvla-cxx-extension]
   72 |         void *values [args.size() + 1];
      |                       ^~~~~~~~~~~~~~~
frontends/ast/dpicall.cc:72:16: note: function parameter 'args' with unknown value cannot be used in a constant expression
frontends/ast/dpicall.cc:67:152: note: declared here
   67 | AST::AstNode *AST::dpi_call(const std::string &rtype, const std::string &fname, const std::vector &argtypes, const std::vector &args)
      |                                                                                                                                                        ^
3 warnings generated.
[ 14%] Building frontends/blif/blifparse.o
[ 14%] Building frontends/json/jsonparse.o
[ 14%] Building frontends/liberty/liberty.o
[ 15%] Building frontends/rpc/rpc_frontend.o
[ 15%] Building frontends/rtlil/rtlil_parser.tab.cc
[ 15%] Building frontends/rtlil/rtlil_lexer.cc
[ 16%] Building frontends/rtlil/rtlil_frontend.o
[ 16%] Building frontends/verific/verific.o
[ 16%] Building frontends/verilog/verilog_parser.tab.cc
[ 17%] Building frontends/verilog/preproc.o
[ 17%] Building frontends/verilog/verilog_frontend.o
[ 17%] Building frontends/verilog/const2ast.o
[ 18%] Building passes/cmds/exec.o
[ 18%] Building passes/cmds/add.o
[ 18%] Building passes/cmds/delete.o
[ 19%] Building passes/cmds/design.o
[ 19%] Building passes/cmds/select.o
[ 19%] Building passes/cmds/show.o
[ 19%] Building passes/cmds/viz.o
[ 20%] Building passes/cmds/rename.o
[ 20%] Building passes/cmds/autoname.o
[ 20%] Building passes/cmds/connect.o
[ 21%] Building passes/cmds/scatter.o
[ 21%] Building passes/cmds/setundef.o
[ 21%] Building passes/cmds/splitnets.o
[ 21%] Building passes/cmds/splitcells.o
[ 22%] Building passes/cmds/stat.o
[ 22%] Building passes/cmds/internal_stats.o
[ 22%] Building passes/cmds/setattr.o
[ 23%] Building passes/cmds/copy.o
[ 23%] Building passes/cmds/splice.o
[ 23%] Building passes/cmds/scc.o
[ 24%] Building passes/cmds/glift.o
[ 24%] Building passes/cmds/torder.o
[ 24%] Building passes/cmds/logcmd.o
[ 24%] Building passes/cmds/tee.o
[ 25%] Building passes/cmds/write_file.o
[ 25%] Building passes/cmds/connwrappers.o
[ 25%] Building passes/cmds/cover.o
[ 26%] Building passes/cmds/trace.o
[ 26%] Building passes/cmds/plugin.o
[ 26%] Building passes/cmds/check.o
[ 26%] Building passes/cmds/qwp.o
[ 27%] Building passes/cmds/edgetypes.o
[ 27%] Building passes/cmds/portlist.o
[ 27%] Building passes/cmds/chformal.o
[ 28%] Building passes/cmds/chtype.o
[ 28%] Building passes/cmds/blackbox.o
[ 28%] Building passes/cmds/ltp.o
[ 29%] Building passes/cmds/bugpoint.o
[ 29%] Building passes/cmds/scratchpad.o
[ 29%] Building passes/cmds/logger.o
[ 29%] Building passes/cmds/printattrs.o
[ 30%] Building passes/cmds/sta.o
[ 30%] Building passes/cmds/clean_zerowidth.o
[ 30%] Building passes/cmds/xprop.o
[ 31%] Building passes/cmds/dft_tag.o
[ 31%] Building passes/cmds/future.o
[ 31%] Building passes/cmds/box_derive.o
[ 31%] Building passes/cmds/example_dt.o
[ 32%] Building passes/equiv/equiv_make.o
[ 32%] Building passes/equiv/equiv_miter.o
[ 32%] Building passes/equiv/equiv_simple.o
[ 33%] Building passes/equiv/equiv_status.o
[ 33%] Building passes/equiv/equiv_add.o
[ 33%] Building passes/equiv/equiv_remove.o
[ 34%] Building passes/equiv/equiv_induct.o
[ 34%] Building passes/equiv/equiv_struct.o
[ 34%] Building passes/equiv/equiv_purge.o
[ 34%] Building passes/equiv/equiv_mark.o
[ 35%] Building passes/equiv/equiv_opt.o
[ 35%] Building passes/fsm/fsm.o
[ 35%] Building passes/fsm/fsm_detect.o
[ 36%] Building passes/fsm/fsm_extract.o
[ 36%] Building passes/fsm/fsm_opt.o
[ 36%] Building passes/fsm/fsm_expand.o
[ 36%] Building passes/fsm/fsm_recode.o
[ 37%] Building passes/fsm/fsm_info.o
[ 37%] Building passes/fsm/fsm_export.o
[ 37%] Building passes/fsm/fsm_map.o
[ 38%] Building passes/hierarchy/hierarchy.o
[ 38%] Building passes/hierarchy/uniquify.o
[ 38%] Building passes/hierarchy/submod.o
[ 38%] Building passes/hierarchy/keep_hierarchy.o
[ 39%] Building passes/memory/memory.o
[ 39%] Building passes/memory/memory_dff.o
[ 39%] Building passes/memory/memory_share.o
[ 40%] Building passes/memory/memory_collect.o
[ 40%] Building passes/memory/memory_unpack.o
[ 40%] Building passes/memory/memory_bram.o
[ 41%] Building passes/memory/memory_map.o
[ 41%] Building passes/memory/memory_memx.o
[ 41%] Building passes/memory/memory_nordff.o
[ 41%] Building passes/memory/memory_narrow.o
[ 42%] Building passes/memory/memory_libmap.o
[ 42%] Building passes/memory/memory_bmux2rom.o
[ 42%] Building passes/memory/memlib.o
[ 43%] Building passes/opt/opt.o
[ 43%] Building passes/opt/opt_merge.o
[ 43%] Building passes/opt/opt_mem.o
[ 43%] Building passes/opt/opt_mem_feedback.o
[ 44%] Building passes/opt/opt_mem_priority.o
[ 44%] Building passes/opt/opt_mem_widen.o
[ 44%] Building passes/opt/opt_muxtree.o
[ 45%] Building passes/opt/opt_reduce.o
[ 45%] Building passes/opt/opt_dff.o
[ 45%] Building passes/opt/opt_share.o
[ 46%] Building passes/opt/opt_clean.o
[ 46%] Building passes/opt/opt_expr.o
[ 46%] Building passes/opt/share.o
[ 46%] Building passes/opt/wreduce.o
[ 47%] Building passes/opt/opt_demorgan.o
[ 47%] Building passes/opt/rmports.o
[ 47%] Building passes/opt/opt_lut.o
[ 48%] Building passes/opt/opt_lut_ins.o
[ 48%] Building passes/opt/opt_ffinv.o
[ 48%] Building passes/opt/pmux2shiftx.o
[ 48%] Building passes/opt/muxpack.o
[ 48%] Building passes/pmgen/test_pmgen_pm.h
[ 48%] Building passes/pmgen/ice40_dsp_pm.h
[ 48%] Building passes/pmgen/peepopt_pm.h
[ 48%] Building passes/pmgen/xilinx_srl_pm.h
[ 49%] Building passes/pmgen/ice40_dsp.o
[ 49%] Building passes/pmgen/ice40_wrapcarry_pm.h
[ 49%] Building passes/pmgen/xilinx_dsp_pm.h
[ 49%] Building passes/pmgen/xilinx_dsp48a_pm.h
[ 49%] Building passes/pmgen/xilinx_dsp_CREG_pm.h
[ 49%] Building passes/pmgen/xilinx_dsp_cascade_pm.h
[ 49%] Building passes/pmgen/microchip_dsp_pm.h
[ 49%] Building passes/pmgen/microchip_dsp_CREG_pm.h
[ 49%] Building passes/pmgen/microchip_dsp_cascade_pm.h
[ 50%] Building passes/pmgen/peepopt.o
[ 51%] Building passes/pmgen/xilinx_srl.o
[ 51%] Building passes/proc/proc.o
[ 51%] Building passes/proc/proc_prune.o
[ 51%] Building passes/proc/proc_clean.o
[ 52%] Building passes/proc/proc_rmdead.o
[ 52%] Building passes/proc/proc_init.o
[ 52%] Building passes/proc/proc_arst.o
[ 53%] Building passes/proc/proc_rom.o
[ 53%] Building passes/proc/proc_mux.o
[ 53%] Building passes/proc/proc_dlatch.o
[ 53%] Building passes/proc/proc_dff.o
[ 54%] Building passes/proc/proc_memwr.o
[ 54%] Building passes/sat/sat.o
[ 54%] Building passes/sat/freduce.o
[ 55%] Building passes/sat/eval.o
[ 55%] Building passes/sat/sim.o
[ 55%] Building passes/sat/miter.o
[ 55%] Building passes/sat/expose.o
[ 56%] Building passes/sat/assertpmux.o
[ 56%] Building passes/sat/clk2fflogic.o
[ 56%] Building passes/sat/async2sync.o
[ 57%] Building passes/sat/formalff.o
[ 57%] Building passes/sat/supercover.o
[ 57%] Building passes/sat/fmcombine.o
[ 58%] Building passes/sat/mutate.o
[ 58%] Building passes/sat/cutpoint.o
[ 58%] Building passes/sat/fminit.o
[ 58%] Building passes/sat/recover_names.o
[ 59%] Building passes/sat/qbfsat.o
[ 59%] Building passes/sat/synthprop.o
[ 59%] Building passes/techmap/flatten.o
[ 60%] Building passes/techmap/techmap.o
[ 60%] Building passes/techmap/simplemap.o
[ 60%] Building passes/techmap/dfflibmap.o
[ 60%] Building passes/techmap/maccmap.o
[ 61%] Building passes/techmap/booth.o
[ 61%] Building passes/techmap/libparse.o
[ 61%] Building passes/techmap/iopadmap.o
[ 62%] Building passes/techmap/clkbufmap.o
[ 62%] Building passes/techmap/hilomap.o
[ 62%] Building passes/techmap/extract.o
[ 63%] Building passes/techmap/extract_fa.o
[ 63%] Building passes/techmap/extract_counter.o
[ 63%] Building passes/techmap/extract_reduce.o
[ 63%] Building passes/techmap/alumacc.o
[ 64%] Building passes/techmap/dffinit.o
[ 64%] Building passes/techmap/pmuxtree.o
[ 64%] Building passes/techmap/bmuxmap.o
[ 65%] Building passes/techmap/demuxmap.o
[ 65%] Building passes/techmap/bwmuxmap.o
[ 65%] Building passes/techmap/muxcover.o
[ 65%] Building passes/techmap/aigmap.o
[ 66%] Building passes/techmap/tribuf.o
[ 66%] Building passes/techmap/lut2mux.o
[ 66%] Building passes/techmap/nlutmap.o
[ 67%] Building passes/techmap/shregmap.o
[ 67%] Building passes/techmap/deminout.o
[ 67%] Building passes/techmap/insbuf.o
[ 68%] Building passes/techmap/bufnorm.o
[ 68%] Building passes/techmap/attrmvcp.o
[ 68%] Building passes/techmap/attrmap.o
[ 68%] Building passes/techmap/zinit.o
[ 69%] Building passes/techmap/dfflegalize.o
[ 69%] Building passes/techmap/dffunmap.o
[ 69%] Building passes/techmap/flowmap.o
[ 70%] Building passes/techmap/extractinv.o
[ 70%] Building passes/techmap/cellmatch.o
[ 70%] Building passes/techmap/clockgate.o
[ 70%] Building passes/tests/test_autotb.o
[ 71%] Building passes/tests/test_cell.o
[ 71%] Building passes/tests/test_abcloop.o
[ 71%] Building backends/aiger2/aiger.o
backends/aiger2/aiger.cc:667:19: warning: unused variable 'CONST_FALSE' [-Wunused-const-variable]
  667 |         const static Lit CONST_FALSE = 0;
      |                          ^~~~~~~~~~~
backends/aiger2/aiger.cc:668:19: warning: unused variable 'CONST_TRUE' [-Wunused-const-variable]
  668 |         const static Lit CONST_TRUE = 1;
      |                          ^~~~~~~~~~
backends/aiger2/aiger.cc:805:19: warning: unused variable 'CONST_FALSE' [-Wunused-const-variable]
  805 |         const static int CONST_FALSE = 0;
      |                          ^~~~~~~~~~~
backends/aiger2/aiger.cc:806:19: warning: unused variable 'CONST_TRUE' [-Wunused-const-variable]
  806 |         const static int CONST_TRUE = 0;
      |                          ^~~~~~~~~~
[ 72%] Building backends/aiger/aiger.o
[ 72%] Building backends/aiger/xaiger.o
[ 72%] Building backends/blif/blif.o
4 warnings generated.
[ 72%] Building backends/btor/btor.o
[ 73%] Building backends/cxxrtl/cxxrtl_backend.o
[ 73%] Building backends/edif/edif.o
[ 73%] Building backends/firrtl/firrtl.o
[ 74%] Building backends/functional/cxx.o
[ 74%] Building backends/functional/smtlib.o
[ 74%] Building backends/functional/smtlib_rosette.o
[ 75%] Building backends/functional/test_generic.o
[ 75%] Building backends/intersynth/intersynth.o
[ 75%] Building backends/jny/jny.o
[ 75%] Building backends/json/json.o
[ 76%] Building backends/rtlil/rtlil_backend.o
[ 76%] Building backends/simplec/simplec.o
[ 76%] Building backends/smt2/smt2.o
[ 77%] Building backends/smv/smv.o
[ 77%] Building backends/spice/spice.o
[ 77%] Building backends/table/table.o
[ 77%] Building backends/verilog/verilog_backend.o
[ 78%] Building techlibs/achronix/synth_achronix.o
[ 78%] Building techlibs/anlogic/synth_anlogic.o
[ 78%] Building techlibs/anlogic/anlogic_eqn.o
[ 79%] Building techlibs/anlogic/anlogic_fixcarry.o
[ 79%] Building techlibs/common/synth.o
[ 79%] Building techlibs/common/prep.o
[ 80%] Building techlibs/coolrunner2/synth_coolrunner2.o
[ 80%] Building techlibs/coolrunner2/coolrunner2_sop.o
[ 80%] Building techlibs/coolrunner2/coolrunner2_fixup.o
[ 80%] Building techlibs/easic/synth_easic.o
[ 81%] Building techlibs/ecp5/synth_ecp5.o
[ 81%] Building techlibs/efinix/synth_efinix.o
[ 81%] Building techlibs/efinix/efinix_fixcarry.o
[ 82%] Building techlibs/fabulous/synth_fabulous.o
[ 82%] Building techlibs/gatemate/synth_gatemate.o
[ 82%] Building techlibs/gatemate/gatemate_foldinv.o
[ 82%] Building techlibs/gowin/synth_gowin.o
[ 83%] Building techlibs/greenpak4/synth_greenpak4.o
[ 83%] Building techlibs/greenpak4/greenpak4_dffinv.o
[ 83%] Building techlibs/ice40/synth_ice40.o
[ 84%] Building techlibs/ice40/ice40_braminit.o
[ 84%] Building techlibs/ice40/ice40_opt.o
[ 84%] Building techlibs/intel_alm/synth_intel_alm.o
[ 85%] Building techlibs/intel/synth_intel.o
[ 85%] Building techlibs/lattice/synth_lattice.o
[ 85%] Building techlibs/lattice/lattice_gsr.o
[ 85%] Building techlibs/microchip/synth_microchip.o
[ 86%] Building techlibs/microchip/microchip_dffopt.o
[ 86%] Building techlibs/nanoxplore/synth_nanoxplore.o
[ 86%] Building techlibs/nanoxplore/nx_carry.o
[ 87%] Building techlibs/nexus/synth_nexus.o
[ 87%] Building techlibs/quicklogic/synth_quicklogic.o
[ 87%] Building techlibs/quicklogic/ql_bram_merge.o
[ 87%] Building techlibs/quicklogic/ql_bram_types.o
[ 88%] Building techlibs/quicklogic/ql_dsp_simd.o
[ 88%] Building techlibs/quicklogic/ql_dsp_io_regs.o
[ 88%] Building techlibs/quicklogic/ql_dsp_macc_pm.h
[ 89%] Building techlibs/sf2/synth_sf2.o
[ 89%] Building techlibs/xilinx/synth_xilinx.o
[ 89%] Building techlibs/xilinx/xilinx_dffopt.o
[ 99%] Building yosys-config
[ 99%] Building passes/techmap/filterlib.o
[ 99%] Building yosys-smtbmc
[ 99%] Building yosys-witness
[ 99%] Building share/include/kernel/binding.h
[ 99%] Building share/include/kernel/bitpattern.h
[ 99%] Building share/include/kernel/cellaigs.h
[ 99%] Building share/include/kernel/celledges.h
[ 99%] Building share/include/kernel/celltypes.h
[ 99%] Building share/include/kernel/consteval.h
[ 99%] Building share/include/kernel/constids.inc
[ 99%] Building share/include/kernel/cost.h
[ 99%] Building share/include/kernel/drivertools.h
[ 99%] Building share/include/kernel/ff.h
[ 99%] Building share/include/kernel/ffinit.h
[ 99%] Building share/include/kernel/ffmerge.h
[ 99%] Building share/include/kernel/fmt.h
[ 99%] Building share/include/kernel/fstdata.h
[ 99%] Building share/include/kernel/hashlib.h
[ 99%] Building share/include/kernel/json.h
[ 99%] Building share/include/kernel/log.h
[ 99%] Building share/include/kernel/macc.h
[ 99%] Building share/include/kernel/modtools.h
[ 99%] Building share/include/kernel/mem.h
[ 99%] Building share/include/kernel/qcsat.h
[ 99%] Building share/include/kernel/register.h
[ 99%] Building share/include/kernel/rtlil.h
[ 99%] Building share/include/kernel/satgen.h
[ 99%] Building share/include/kernel/scopeinfo.h
[ 99%] Building share/include/kernel/sexpr.h
[ 99%] Building share/include/kernel/sigtools.h
[ 99%] Building share/include/kernel/timinginfo.h
[ 99%] Building share/include/kernel/utils.h
[ 99%] Building share/include/kernel/yosys.h
[ 99%] Building share/include/kernel/yosys_common.h
[ 99%] Building share/include/kernel/yw.h
[ 99%] Building share/include/libs/ezsat/ezsat.h
[ 99%] Building share/include/libs/ezsat/ezminisat.h
[ 99%] Building share/include/libs/fst/fstapi.h
[ 99%] Building share/include/libs/sha1/sha1.h
[ 99%] Building share/include/libs/json11/json11.hpp
[ 99%] Building share/include/passes/fsm/fsmdata.h
[ 99%] Building share/include/frontends/ast/ast.h
[ 99%] Building share/include/frontends/ast/ast_binding.h
[ 99%] Building share/include/frontends/blif/blifparse.h
[ 99%] Building share/include/backends/rtlil/rtlil_backend.h
[ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h
[ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h
[ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h
[ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h
[ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc
[ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h
[ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc
[ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h
[ 99%] Building share/python3/smtio.py
[ 99%] Building share/python3/ywio.py
[ 99%] Building share/achronix/speedster22i/cells_sim.v
[ 99%] Building share/achronix/speedster22i/cells_map.v
[ 99%] Building share/anlogic/cells_map.v
[ 99%] Building share/anlogic/arith_map.v
[ 99%] Building share/anlogic/cells_sim.v
[ 99%] Building share/anlogic/eagle_bb.v
[ 99%] Building share/anlogic/lutrams.txt
[ 99%] Building share/anlogic/lutrams_map.v
[ 99%] Building share/anlogic/brams.txt
[ 99%] Building share/anlogic/brams_map.v
[ 99%] Building share/simlib.v
[ 99%] Building share/simcells.v
[ 99%] Building share/techmap.v
[ 99%] Building share/smtmap.v
[ 99%] Building share/pmux2mux.v
[ 99%] Building share/adff2dff.v
[ 99%] Building share/dff2ff.v
[ 99%] Building share/gate2lut.v
[ 99%] Building share/cmp2lut.v
[ 99%] Building share/cells.lib
[ 99%] Building share/mul2dsp.v
[ 99%] Building share/abc9_model.v
[ 99%] Building share/abc9_map.v
[ 99%] Building share/abc9_unmap.v
[ 99%] Building share/cmp2lcu.v
[ 99%] Building share/cmp2softlogic.v
[ 99%] Building share/choices/kogge-stone.v
[ 99%] Building share/coolrunner2/cells_latch.v
[ 99%] Building share/coolrunner2/cells_sim.v
[ 99%] Building share/coolrunner2/cells_counter_map.v
[ 99%] Building share/coolrunner2/tff_extract.v
[ 99%] Building share/coolrunner2/xc2_dff.lib
[ 99%] Building share/ecp5/cells_ff.vh
[ 99%] Building share/ecp5/cells_io.vh
[ 99%] Building share/ecp5/cells_map.v
[ 99%] Building share/ecp5/cells_sim.v
[ 99%] Building share/ecp5/cells_bb.v
[ 99%] Building share/ecp5/lutrams_map.v
[ 99%] Building share/ecp5/lutrams.txt
[ 99%] Building share/ecp5/brams_map.v
[ 99%] Building share/ecp5/brams.txt
[ 99%] Building share/ecp5/arith_map.v
[ 99%] Building share/ecp5/latches_map.v
[ 99%] Building share/ecp5/dsp_map.v
[ 99%] Building share/efinix/cells_map.v
[ 99%] Building share/efinix/arith_map.v
[ 99%] Building share/efinix/cells_sim.v
[ 99%] Building share/efinix/brams_map.v
[ 99%] Building share/efinix/gbuf_map.v
[ 99%] Building share/efinix/brams.txt
[ 99%] Building share/fabulous/cells_map.v
[ 99%] Building share/fabulous/prims.v
[ 99%] Building share/fabulous/latches_map.v
[ 99%] Building share/fabulous/ff_map.v
[ 99%] Building share/fabulous/ram_regfile.txt
[ 99%] Building share/fabulous/regfile_map.v
[ 99%] Building share/fabulous/io_map.v
[ 99%] Building share/fabulous/arith_map.v
[ 99%] Building share/gatemate/reg_map.v
[ 99%] Building share/gatemate/mux_map.v
[ 99%] Building share/gatemate/lut_map.v
[ 99%] Building share/gatemate/mul_map.v
[ 99%] Building share/gatemate/arith_map.v
[ 99%] Building share/gatemate/cells_sim.v
[ 99%] Building share/gatemate/cells_bb.v
[ 99%] Building share/gatemate/brams_map.v
[ 99%] Building share/gatemate/brams.txt
[ 99%] Building share/gatemate/brams_init_20.vh
[ 99%] Building share/gatemate/brams_init_40.vh
[ 99%] Building share/gatemate/inv_map.v
[ 99%] Building techlibs/gatemate/lut_tree_lib.mk
[ 99%] Building share/gowin/cells_map.v
[ 99%] Building share/gowin/cells_sim.v
[ 99%] Building share/gowin/cells_xtra.v
[ 99%] Building share/gowin/arith_map.v
[ 99%] Building share/gowin/brams_map.v
[ 99%] Building share/gowin/brams.txt
[ 99%] Building share/gowin/lutrams_map.v
[ 99%] Building share/gowin/lutrams.txt
[ 99%] Building share/greenpak4/cells_blackbox.v
[ 99%] Building share/greenpak4/cells_latch.v
[ 99%] Building share/greenpak4/cells_map.v
[ 99%] Building share/greenpak4/cells_sim.v
[ 99%] Building share/greenpak4/cells_sim_ams.v
[ 99%] Building share/greenpak4/cells_sim_digital.v
[ 99%] Building share/greenpak4/cells_sim_wip.v
[ 99%] Building share/greenpak4/gp_dff.lib
[ 99%] Building share/ice40/arith_map.v
[ 99%] Building share/ice40/cells_map.v
[ 99%] Building share/ice40/ff_map.v
[ 99%] Building share/ice40/cells_sim.v
[ 99%] Building share/ice40/latches_map.v
[ 99%] Building share/ice40/brams.txt
[ 99%] Building share/ice40/brams_map.v
[ 99%] Building share/ice40/spram.txt
[ 99%] Building share/ice40/spram_map.v
[ 99%] Building share/ice40/dsp_map.v
[ 99%] Building share/ice40/abc9_model.v
[ 99%] Building share/intel_alm/common/abc9_map.v
[ 99%] Building share/intel_alm/common/abc9_unmap.v
[ 99%] Building share/intel_alm/common/abc9_model.v
[ 99%] Building share/intel_alm/common/alm_map.v
[ 99%] Building share/intel_alm/common/alm_sim.v
[ 99%] Building share/intel_alm/common/arith_alm_map.v
[ 99%] Building share/intel_alm/common/dff_map.v
[ 99%] Building share/intel_alm/common/dff_sim.v
[ 99%] Building share/intel_alm/common/dsp_sim.v
[ 99%] Building share/intel_alm/common/dsp_map.v
[ 99%] Building share/intel_alm/common/mem_sim.v
[ 99%] Building share/intel_alm/common/misc_sim.v
[ 99%] Building share/intel_alm/cyclonev/cells_sim.v
[ 99%] Building share/intel_alm/common/bram_m10k.txt
[ 99%] Building share/intel_alm/common/bram_m10k_map.v
[ 99%] Building share/intel_alm/common/lutram_mlab.txt
[ 99%] Building share/intel_alm/common/megafunction_bb.v
[ 99%] Building share/intel/common/m9k_bb.v
[ 99%] Building share/intel/common/altpll_bb.v
[ 99%] Building share/intel/common/brams_m9k.txt
[ 99%] Building share/intel/common/brams_map_m9k.v
[ 99%] Building share/intel/common/ff_map.v
[ 99%] Building share/intel/max10/cells_sim.v
[ 99%] Building share/intel/cyclone10lp/cells_sim.v
[ 99%] Building share/intel/cycloneiv/cells_sim.v
[ 99%] Building share/intel/cycloneive/cells_sim.v
[ 99%] Building share/intel/max10/cells_map.v
[ 99%] Building share/intel/cyclone10lp/cells_map.v
[ 99%] Building share/intel/cycloneiv/cells_map.v
[ 99%] Building share/intel/cycloneive/cells_map.v
[ 99%] Building share/lattice/cells_ff.vh
[ 99%] Building share/lattice/cells_io.vh
[ 99%] Building share/lattice/cells_map.v
[ 99%] Building share/lattice/common_sim.vh
[ 99%] Building share/lattice/ccu2d_sim.vh
[ 99%] Building share/lattice/ccu2c_sim.vh
[ 99%] Building share/lattice/cells_sim_ecp5.v
[ 99%] Building share/lattice/cells_sim_xo2.v
[ 99%] Building share/lattice/cells_sim_xo3.v
[ 99%] Building share/lattice/cells_sim_xo3d.v
[ 99%] Building share/lattice/cells_bb_ecp5.v
[ 99%] Building share/lattice/cells_bb_xo2.v
[ 99%] Building share/lattice/cells_bb_xo3.v
[ 99%] Building share/lattice/cells_bb_xo3d.v
[ 99%] Building share/lattice/lutrams_map.v
[ 99%] Building share/lattice/lutrams.txt
[ 99%] Building share/lattice/brams_map_16kd.v
[ 99%] Building share/lattice/brams_16kd.txt
[ 99%] Building share/lattice/brams_map_8kc.v
[ 99%] Building share/lattice/brams_8kc.txt
[ 99%] Building share/lattice/arith_map_ccu2c.v
[ 99%] Building share/lattice/arith_map_ccu2d.v
[ 99%] Building share/lattice/latches_map.v
[ 99%] Building share/lattice/dsp_map_18x18.v
[ 99%] Building share/microchip/arith_map.v
[ 99%] Building share/microchip/cells_map.v
[ 99%] Building share/microchip/cells_sim.v
[ 99%] Building share/microchip/polarfire_dsp_map.v
[ 99%] Building share/microchip/brams_defs.vh
[ 99%] Building share/microchip/LSRAM_map.v
[ 99%] Building share/microchip/LSRAM.txt
[ 99%] Building share/microchip/uSRAM_map.v
[ 99%] Building share/microchip/uSRAM.txt
[ 99%] Building share/nanoxplore/arith_map.v
[ 99%] Building share/nanoxplore/brams_init.vh
[ 99%] Building share/nanoxplore/brams_map.v
[ 99%] Building share/nanoxplore/brams.txt
[ 99%] Building share/nanoxplore/cells_bb.v
[ 99%] Building share/nanoxplore/cells_bb_l.v
[ 99%] Building share/nanoxplore/cells_bb_m.v
[ 99%] Building share/nanoxplore/cells_bb_u.v
[ 99%] Building share/nanoxplore/cells_map.v
[ 99%] Building share/nanoxplore/cells_sim.v
[ 99%] Building share/nanoxplore/cells_sim_l.v
[ 99%] Building share/nanoxplore/cells_sim_m.v
[ 99%] Building share/nanoxplore/cells_sim_u.v
[ 99%] Building share/nanoxplore/cells_wrap.v
[ 99%] Building share/nanoxplore/cells_wrap_l.v
[ 99%] Building share/nanoxplore/cells_wrap_m.v
[ 99%] Building share/nanoxplore/cells_wrap_u.v
[ 99%] Building share/nanoxplore/io_map.v
[ 99%] Building share/nanoxplore/latches_map.v
[ 99%] Building share/nanoxplore/rf_init.vh
[ 99%] Building share/nanoxplore/rf_rams_l.txt
[ 99%] Building share/nanoxplore/rf_rams_m.txt
[ 99%] Building share/nanoxplore/rf_rams_u.txt
[ 99%] Building share/nanoxplore/rf_rams_map_l.v
[ 99%] Building share/nanoxplore/rf_rams_map_m.v
[ 99%] Building share/nanoxplore/rf_rams_map_u.v
[ 99%] Building share/nexus/cells_map.v
[ 99%] Building share/nexus/cells_sim.v
[ 99%] Building share/nexus/parse_init.vh
[ 99%] Building share/nexus/cells_xtra.v
[ 99%] Building share/nexus/lutrams_map.v
[ 99%] Building share/nexus/lutrams.txt
[ 99%] Building share/nexus/brams_map.v
[ 99%] Building share/nexus/brams.txt
[ 99%] Building share/nexus/lrams_map.v
[ 99%] Building share/nexus/lrams.txt
[ 99%] Building share/nexus/arith_map.v
[ 99%] Building share/nexus/latches_map.v
[ 99%] Building share/nexus/dsp_map.v
[ 99%] Building share/quicklogic/common/cells_sim.v
[ 99%] Building share/quicklogic/pp3/ffs_map.v
[ 99%] Building share/quicklogic/pp3/lut_map.v
[ 99%] Building share/quicklogic/pp3/latches_map.v
[ 99%] Building share/quicklogic/pp3/cells_map.v
[ 99%] Building share/quicklogic/pp3/cells_sim.v
[ 99%] Building share/quicklogic/pp3/abc9_model.v
[ 99%] Building share/quicklogic/pp3/abc9_map.v
[ 99%] Building share/quicklogic/pp3/abc9_unmap.v
[ 99%] Building share/quicklogic/qlf_k6n10f/arith_map.v
[ 99%] Building share/quicklogic/qlf_k6n10f/libmap_brams.txt
[ 99%] Building share/quicklogic/qlf_k6n10f/libmap_brams_map.v
[ 99%] Building share/quicklogic/qlf_k6n10f/brams_map.v
[ 99%] Building share/quicklogic/qlf_k6n10f/brams_sim.v
[ 99%] Building techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v
[ 99%] Building share/quicklogic/qlf_k6n10f/cells_sim.v
[ 99%] Building share/quicklogic/qlf_k6n10f/ffs_map.v
[ 99%] Building share/quicklogic/qlf_k6n10f/dsp_sim.v
[ 99%] Building share/quicklogic/qlf_k6n10f/dsp_map.v
[ 99%] Building share/quicklogic/qlf_k6n10f/dsp_final_map.v
[ 99%] Building share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v
[ 99%] Building share/quicklogic/qlf_k6n10f/ufifo_ctl.v
[ 99%] Building share/quicklogic/qlf_k6n10f/sram1024x18_mem.v
[ 99%] Building share/sf2/arith_map.v
[ 99%] Building share/sf2/cells_map.v
[ 99%] Building share/sf2/cells_sim.v
[ 99%] Building share/xilinx/cells_map.v
[ 99%] Building share/xilinx/cells_sim.v
[ 99%] Building share/xilinx/cells_xtra.v
[ 99%] Building share/xilinx/lutrams_xcv.txt
[ 99%] Building share/xilinx/lutrams_xcv_map.v
[ 99%] Building share/xilinx/lutrams_xc5v.txt
[ 99%] Building share/xilinx/lutrams_xcu.txt
[ 99%] Building share/xilinx/lutrams_xc5v_map.v
[ 99%] Building share/xilinx/brams_xcv.txt
[ 99%] Building share/xilinx/brams_xcv_map.v
[ 99%] Building share/xilinx/brams_defs.vh
[ 99%] Building share/xilinx/brams_xc2v.txt
[ 99%] Building share/xilinx/brams_xc2v_map.v
[ 99%] Building share/xilinx/brams_xc3sda.txt
[ 99%] Building share/xilinx/brams_xc3sda_map.v
[ 99%] Building share/xilinx/brams_xc4v.txt
[ 99%] Building share/xilinx/brams_xc4v_map.v
[ 99%] Building share/xilinx/brams_xc5v_map.v
[ 99%] Building share/xilinx/brams_xc6v_map.v
[ 99%] Building share/xilinx/brams_xcu_map.v
[ 99%] Building share/xilinx/urams.txt
[ 99%] Building share/xilinx/urams_map.v
[ 99%] Building share/xilinx/arith_map.v
[ 99%] Building share/xilinx/ff_map.v
[ 99%] Building share/xilinx/lut_map.v
[ 99%] Building share/xilinx/mux_map.v
[ 99%] Building share/xilinx/xc3s_mult_map.v
[ 99%] Building share/xilinx/xc3sda_dsp_map.v
[ 99%] Building share/xilinx/xc6s_dsp_map.v
[ 99%] Building share/xilinx/xc4v_dsp_map.v
[ 99%] Building share/xilinx/xc5v_dsp_map.v
[ 99%] Building share/xilinx/xc7_dsp_map.v
[ 99%] Building share/xilinx/xcu_dsp_map.v
[ 99%] Building share/xilinx/abc9_model.v
[ 99%] Building kernel/version_e97731b9dda91fa5fa53ed87df7c34163ba59a41.o
[ 99%] Building kernel/register.o
[ 99%] Building frontends/rtlil/rtlil_parser.tab.o
[ 99%] Building frontends/rtlil/rtlil_lexer.o
[ 99%] Building frontends/verilog/verilog_parser.tab.o
[ 99%] Building frontends/verilog/verilog_lexer.cc
[ 99%] Building passes/pmgen/test_pmgen.o
frontends/verilog/verilog_parser.tab.cc:3743:9: warning: variable 'frontend_verilog_yynerrs' set but not used [-Wunused-but-set-variable]
 3743 |     int yynerrs = 0;
      |         ^
frontends/verilog/verilog_parser.tab.cc:74:25: note: expanded from macro 'yynerrs'
   74 | #define yynerrs         frontend_verilog_yynerrs
      |                         ^
[ 99%] Building passes/pmgen/ice40_wrapcarry.o
1 warning generated.
[ 99%] Building passes/pmgen/xilinx_dsp.o
[ 99%] Building passes/pmgen/microchip_dsp.o
[ 99%] Building techlibs/quicklogic/ql_dsp_macc.o
[ 99%] Building yosys-filterlib
[ 99%] Building share/gatemate/lut_tree_cells.genlib
[ 99%] Building share/gatemate/lut_tree_map.v
[ 99%] Building share/quicklogic/qlf_k6n10f/bram_types_sim.v
[ 99%] Building frontends/verilog/verilog_lexer.o
[100%] Building yosys

  Build successful.

Processing /home/builder/.termux-build/yosys/src
  Preparing metadata (setup.py): started
  Preparing metadata (setup.py): finished with status 'done'
Building wheels for collected packages: pyosys
  Building wheel for pyosys (setup.py): started
  Building wheel for pyosys (setup.py): finished with status 'error'
  error: subprocess-exited-with-error

  × python setup.py bdist_wheel did not run successfully.
  │ exit code: 1
  ╰─> [16 lines of output]
      running bdist_wheel
      running build
      running build_py
      creating build
      creating build/lib.linux-x86_64-cpython-312
      creating build/lib.linux-x86_64-cpython-312/pyosys
      copying misc/py_wrap_generator.py -> build/lib.linux-x86_64-cpython-312/pyosys
      copying misc/__init__.py -> build/lib.linux-x86_64-cpython-312/pyosys
      running build_ext
      make -j4 libyosys.so ENABLE_PYOSYS=1 ENABLE_PYTHON_CONFIG_EMBED=0 ENABLE_TCL=0 ENABLE_READLINE=0 PRETTY=0
      make: python3-config: No such file or directory
      make: python3-config: No such file or directory
      make: python3-config: No such file or directory
      make: python3-config: No such file or directory
      Makefile:366: *** BOOST_PYTHON_LIB could not be detected. Please define manually.  Stop.
      error: command '/usr/bin/make' failed with exit code 2
      [end of output]

  note: This error originates from a subprocess, and is likely not a problem with pip.
  ERROR: Failed building wheel for pyosys
  Running setup.py clean for pyosys
Failed to build pyosys
ERROR: Could not build wheels for pyosys, which is required to install pyproject.toml-based projects
ERROR: failed to build.

Above error occured when I last tried to update at 2024-10-09 12:34:13 UTC.
Run ID: 11254601445

Note: Automatic updates will be disabled until this issue is resolved.

twaik commented 3 weeks ago

The package fails to find python3-config command which is the part of python package (in Ubuntu it is in python3-dev package). Probably we should specify python package as a build dependency.

twaik commented 2 weeks ago

Fixed by 9e26d2de76bedafe0cbd5435b348b2db6839332d.