-
class TestModule extends Module {
val io = IO(new Bundle {
val in = Input(UInt(10.W))
val bit = Input(Bool())
val out = Output(UInt(10.W))
})
io.out(0) := io.bit
}
Please m…
-
Thanks for making cpufetch!
Running into this error with my w5-3435X:
`cpufetch` :
```bash
[ERROR]: Unknown microarchitecture detected: M=0xF EM=0x8 F=0x6 EF=0x0 S=0x8
[VERSI…
-
Hey Archspec,
I noticed that there aren't functions here for detect, etc., is this something you are planning to write, to be akin to the Python module? Thanks!
-
I am using the lateset precompiled binary of SSIDS. I tested matrix ND/nd3k and PARSEC/Si10H16 and fact+solve time are around 1.5s/4.5s respectively. But in the SSDIS prepint A Sparse symmetric indefi…
-
#### The associated forum post URL from `https://forum.rclone.org`
None.
#### What is the problem you are having with rclone?
I can't run the latest rclone downloaded from gtihub releases…
-
I am experiencing 27 `rr` Test failures after merging support for Ryzen 6000 series (see PR #3351)
The processor is specifically 6800U. This is a custom Linux kernel -- essentially 5.19-rc8. I exp…
-
Hi,
I was wondering why the software won’t pick up on my cpu temps, but will pick up utilisation. I have used OHM on my laptop and it works perfectly, but on my desktop, no temps. Is there any fix? …
-
```
➜ legate.core git:(kernel-fusion) ✗ /Users/rohany/Documents/nvidia/legate.core/_skbuild/macosx-13.0-x86_64-3.11/cmake-build/./cargo/build/x86_64-apple-darwin/release/legion_prof --view /Users/ro…
-
I think the implicit ST0 operands of several X87 instructions should actually be suppressed. An example is the FLD instruction: the ST0 operand is not expressed in disassembly, and it is also not used…
-
**Describe the bug**
I'm trying to use obs-vkcapture on minecraft (java edition) using a newer version of GLFW (3.4-2) so i can use native wayland.
Without obs-vkcapture it works and i can play min…