ARM-software / tf-issues

Issue tracking for the ARM Trusted Firmware project
37 stars 16 forks source link

Error while using udelay function on xilinx zynqmp #698

Closed vabbarap closed 4 years ago

vabbarap commented 4 years ago

Getting error in the udelay function assert(usec < UINT32_MAX / timer_ops->clk_div);

In the function void generic_delay_timer_init(void) { unsigned int div = plat_get_syscnt_freq2(); Here the value of div frequency is 99.99MHz(99990008) which is cauisng the error.

Is there any way to get rid of this error which has frequencies other than 100MHz, 200MHZ and so on.

ASSERT: drivers/delay_timer/delay_timer.c:30 BACKTRACE: START: assert 0: EL3: 0x6b80 1: EL3: 0x90f8 2: EL3: 0x7454 3: EL3: 0x3970 4: EL3: 0x20e4 5: EL3: 0x87ec BACKTRACE: END: assert

Thanks Venkatesh

ssg-bot commented 4 years ago

Hello @vabbarap!

Thank you for raising an issue for Trusted Firmware-A.

The TF-A project has now migrated to www.trustedfirmware.org. This issue tracker will still remain accessible for some time, but only for historical reasons. From now on you should raise any new issues on trustedfirmware.org.

How do I raise issues for TF-A?

Please use our new issue tracking board. For this you just need to login with your existing GitHub account. We also have a guide to help you raise the issue with the appropriate labels and tags. This way it will be easier for both you and us to track and address the issue most effectively.

What if I face any problems?

You can send us an email in the public TF-A mailing list. Here you can also find all the mailing lists for all the projects hosted under trustedfirmware.org.

We are looking forward to seeing you in trustedfirmware.org!

The Trusted Firmware-A team

max-shvetsov commented 4 years ago

Greetings @vabbarap. I have made a fix for your issue, please have a look at this patch. I did my best to replicate the issue by tweaking fvp platform as we don't have your specific platform. Please let us know if you have issues on your platform. Also please use issue tracking board in the future. Kind regards, Max

vabbarap commented 4 years ago

@max-shvetsov Thanks for the patch.It worked.

Thanks Venkatesh