AsFigo / pyslint

SystemVerilog Linter based on pyslang
MIT License
19 stars 21 forks source link

CNST - add new rule #184

Closed svenka3 closed 8 months ago

svenka3 commented 8 months ago
class bli_c;
  bit foo_sel;

  rand int foo;

  constraint cst_1 {
    foo == (foo_sel) ? 5 :6;
  }

  function void post_randomize();
    $display ("%p", this);
  endfunction
endclass

module m;
  bli_c u_bli_c_0;

  initial begin
    u_bli_c_0 = new();
    repeat (5) u_bli_c_0.randomize(); 
    #10;
    $finish (2);
  end
endmodule

https://www.linkedin.com/posts/avidan-efody_appleabrdv-servingthenextbug-systemverilog-activity-7152673318020562944-tI2M?utm_source=share&utm_medium=member_desktop