AsFigo / yoYoLint

SystemVerilog RTL Linter for YoSys
https://www.asfigo.com
MIT License
4 stars 1 forks source link

ERROR: parsing SystemVerilog Attribution #13

Open mungalched opened 3 hours ago

mungalched commented 3 hours ago

module adder #( parameter WIDTH = 32 )( input logic [WIDTH-1:0] a, b, output logic [WIDTH-1:0] result );

always_comb begin
    result <= '{default:0};  // This line will cause the syntax error in Verilog-2005
end

endmodule

mungalched commented 2 hours ago

https://github.com/YosysHQ/yosys/issues/792