AsFigo / yoYoLint

SystemVerilog RTL Linter for YoSys
https://www.asfigo.com
MIT License
4 stars 1 forks source link

TWO 2-d arr in ports NYS #3

Open ajeethakv opened 1 month ago

ajeethakv commented 1 month ago

https://stackoverflow.com/questions/44834578/yosys-gives-syntax-error-on-2d-interface/44854224#44854224