CIBERER / GdTBioinfo-nf-snvs

MIT License
0 stars 0 forks source link

[FEATURE] Add "MERGE_VCF_CALLERS" process as module #4

Open yolandabq opened 7 months ago

yolandabq commented 7 months ago

Which type of feature are you implementing?

Is there an existing issue / PR for this?

Is there already an implementation for this feature?

Describe the feature

Module necessary to merge the 3 vcfs obtained.

Background

To merge the vcfs, a custom script is used. The script uses bash commands (awk, cut, etc), a python script to gen consensus genotype and other tools (bcftools, tabix..) It needs to be adapted to create a module.

Its the process "MERGE_VCF_CALLERS", line 1746 (https://github.com/TBLabFJD/NextVariantFJD/blob/main/modules/execution_modules.nf)

Proposed implementation

No response

Anything else?

No response

Related Issues

No response

Are you going to work on this?