CliMA / LESbrary.jl

📚Generating Oceananigans large eddy simulation (LES) data for calibrating parameterizations
MIT License
27 stars 10 forks source link

Update to Oceananigans v0.64 and Oceanostics v0.6 #122

Closed ali-ramadhan closed 2 years ago

ali-ramadhan commented 2 years ago

RIP script

julia> compute!(Ri)
ERROR: Failed to compile PTX code (ptxas exited with code 255)
ptxas /tmp/jl_RTwlOI.ptx, line 2849; error   : Entry function '_Z34julia_partial_mapreduce_grid_327879_identity8_add_sumv16CartesianIndicesILi3E5TupleI5OneToI5Int64ES3_IS4_ES3_IS4_EEES1_ILi3ES2_IS3_IS4_ES3_IS4_ES3_IS4_EEE3ValILitrueEE13ReshapedArrayI7Float64Li4E8SubArrayIS7_Li3E13CuDeviceArrayIS7_Li3ELi1EES2_I9UnitRangeIS4_ES10_IS4_ES10_IS4_EELifalseEES2_I27SignedMultiplicativeInverseIS4_ES11_IS4_EEE15BinaryOperationI6CenterS13_4Face2__S12_IS13_S13_S14_S15_10DerivativeIS13_S13_S14_6__z___11OffsetArrayIS7_Li3ES9_IS7_Li3ELi1EEE10_identity5vv22RegularRectilinearGridIS7_8PeriodicS21_7BoundedS18_IS7_Li1E12StepRangeLenIS7_14TwicePrecisionIS7_ES24_IS7_EEEES7_ES4_10_identity110_identity2vS20_IS7_S21_S21_S22_S18_IS7_Li1ES23_IS7_S24_IS7_ES24_IS7_EEEES7_ES12_IS14_S13_S14_S15_S12_IS14_S13_S14_S15_S12_IS14_S13_S14_S15_S16_IS14_S13_S14_S17_S18_IS7_Li3ES9_IS7_Li3ELi1EEE10_identity3vvS20_IS7_S21_S21_S22_S18_IS7_Li1ES23_IS7_S24_IS7_ES24_IS7_EEEES7_ES4_10_identity4S19_vS20_IS7_S21_S21_S22_S18_IS7_Li1ES23_IS7_S24_IS7_ES24_IS7_EEEES7_ES4_S28_S19_vS20_IS7_S21_S21_S22_S18_IS7_Li1ES23_IS7_S24_IS7_ES24_IS7_EEEES7_ES12_IS13_S14_S14_S15_S12_IS13_S14_S14_S15_S16_IS13_S14_S14_S17_S18_IS7_Li3ES9_IS7_Li3ELi1EEES25_vvS20_IS7_S21_S21_S22_S18_IS7_Li1ES23_IS7_S24_IS7_ES24_IS7_EEEES7_ES4_S26_S27_vS20_IS7_S21_S21_S22_S18_IS7_Li1ES23_IS7_S24_IS7_ES24_IS7_EEEES7_ES4_S25_S26_vS20_IS7_S21_S21_S22_S18_IS7_Li1ES23_IS7_S24_IS7_ES24_IS7_EEEES7_ES27_7__xy___vS20_IS7_S21_S21_S22_S18_IS7_Li1ES23_IS7_S24_IS7_ES24_IS7_EEEES7_ES28_6__x___vS20_IS7_S21_S21_S22_S18_IS7_Li1ES23_IS7_S24_IS7_ES24_IS7_EEEES7_E' uses too much parameter space (0x12b0 bytes, 0x1100 max).
navidcy commented 2 years ago

@glwagner, is this superseded by #124?

glwagner commented 2 years ago

Yes