DreamIP / haddoc2

Caffe to VHDL
https://dream.ispr-ip.fr/
BSD 2-Clause "Simplified" License
66 stars 28 forks source link

can you share conv.vhd for example lenet-5? #1

Closed sunxindx3906 closed 6 years ago

sunxindx3906 commented 6 years ago

Hi, can you share conv.vhd and parameter file for example lenet-5?

Thank you!

sunxindx3906 commented 6 years ago

Hi error log: [root@CentOS7 example]# make hdl python ../lib/haddoc2.py \ --proto=./caffe/lenet.prototxt \ --model=./caffe/lenet.caffemodel \ --out=./hdl_generated \ --nbits=5 Haddoc2 CNN parameter parser: prototxt: ./caffe/lenet.prototxt caffe model: ./caffe/lenet.caffemodel vhdl out: ./hdl_generated bit width : 5

Fatal error: the file '/home/data/workspace/haddoc2/bin/mk_cnn' is not a bytecode executable file

KamelAbdelouahab commented 6 years ago

Hi @sunxindx3906 Thank's for reporting the bug. I'm currently trying to re-write the ocaml parts of the generator. Meanwhile, you can find a generated top-level for levet5 here.

For the params file. You'll find it in hdl_generated directory.

sunxindx3906 commented 6 years ago

3q

KamelAbdelouahab commented 6 years ago

It should work now. ( Actually this was corrected with a commit few months ago)