DreamIP / haddoc2

Caffe to VHDL
https://dream.ispr-ip.fr/
BSD 2-Clause "Simplified" License
66 stars 28 forks source link

missing bitwidths.vhd #10

Open indra-ipd opened 5 years ago

indra-ipd commented 5 years ago

Hello,

The package cnn_types.vhd imports bitwidths.vhd. I am not able to find bitwidths.vhd.

library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; use ieee.math_real.all; use work.bitwidths.all;