DreamIP / haddoc2

Caffe to VHDL
https://dream.ispr-ip.fr/
BSD 2-Clause "Simplified" License
66 stars 28 forks source link

Update MOA.vhd #4

Closed BlazeCode2 closed 6 years ago

BlazeCode2 commented 6 years ago

There is a bug in this file: the variable v_acc is not reset to 0 in each new clock cycle to accumulate new set of values. Fixed by inlcuding < v_acc:= (others=>'0'); > in line 37.

KamelAbdelouahab commented 6 years ago

Absolutely right. I was actually working on it, trying to.make the hole architecture of MOA and MCM asynchronous. Thanks

KamelAbdelouahab commented 6 years ago

@BlazeCode2 : I just updated the hole DotProduct IPs and fully pipelined the implementation of the MOA.vhd : check aab08a6