EDAPack / edapack

Provides a packaged collection of open source EDA tools
Apache License 2.0
12 stars 4 forks source link

Add VUnit #1

Closed LarsAsplund closed 5 years ago

LarsAsplund commented 5 years ago

I would recommend adding VUnit. I know of some Docker containers out there but they are more VHDL focused. Unfortunately we do not support Icarus yet but the work has been started and I'm looking for the resources to have that work completed. More info here: https://github.com/VUnit/vunit/issues/188

mballance commented 5 years ago

Hi Lars, Thanks for the suggestion! I've started reading up a bit on how VUnit is installed, and how it works. On the VHDL front, do your users make use of an OSS simulator? If so, do you think it would make sense to include it (I'm assuming GHDL) in a distribution like EDAPack?

Best Regards, Matthew

LarsAsplund commented 5 years ago

Yes that makes sense. GHDL works very well for batch type simulations (as long as there are no encrypted files) since you have an unlimited number of "licenses" and can run many tests in parallel using the -p option when calling the VUnit script. This is a popular combo. See also this post

mballance commented 5 years ago

Thanks for the suggestion, Lars! I've added GHDL and VUnit to EDAPack. There was a bit of a issue with the data files in the 0.0.1 release of EDAPack itself, so users are advised to start from EDAPack 0.0.2 in order to install GHDL and VUnit.