EdaphicStudio / SystemVerilog

Public issue tracker for Edaphic.Studio/SV
MIT License
0 stars 0 forks source link

constraint inside doesn't resolve to enum as expected #22

Closed EdaphicStudio closed 5 years ago

EdaphicStudio commented 5 years ago

In the following example standing on READ (//^) inside the constraint should resolve to READ (//X) inside the enum. It doesn't!

        typedef enum { NOP,
               READ,
               //X
               WRITE
             } ubus_read_write_enum;

        class ubus_transfer extends uvm_sequence_item;

            rand ubus_read_write_enum read_write;

            constraint c_read_write {
                read_write inside { READ, WRITE };
                                  //^
            }
        endclass
EdaphicStudio commented 5 years ago

Fixed in BETA10