EdaphicStudio / SystemVerilog

Public issue tracker for Edaphic.Studio/SV
MIT License
0 stars 0 forks source link

UVM Macro `uvm_component_utils` reports error #3

Closed rekendahl closed 6 years ago

rekendahl commented 6 years ago

The macro uvm_components_utils reports an error even though it seemingly is expanded correctly. I have attached a screenshot of the error.

This can be seen by using the UVM ubus example and opening the test_lib.sv file.

screen shot 2018-07-30 at 11 13 19 am
EdaphicStudio commented 6 years ago

This bug was introduced with the fix for #2

The fix didn't take into account defines from external sources when checking for the number of parameters. Working on a fix now.

EdaphicStudio commented 6 years ago

Found cause - Simple bug where the check for arguments used a local list of defines from the file instead of the list of defines seen. Verified it works for all cases in regression. Will be part of next beta release.