Ekey / REE.PAK.Tool

Tools for extract and repack PAK archives from games based on RE ENGINE
132 stars 19 forks source link

Bug? Useless if condition #36

Open eigeen opened 1 month ago

eigeen commented 1 month ago

Position: https://github.com/Ekey/REE.PAK.Tool/blob/30b02f3f3fe4ef598d2152122f48dc947e069f01/REE.Unpacker/REE.Unpacker/FileSystem/Package/PakUnpack.cs#L82

m_Header.bMajorVersion == 2 && m_Header.bMajorVersion == 4 always equal to false.

In addition, if not for insurance purposes, the else if can be merged with else, because m_Header.bMinorVersion was previously determined that can only be 0 or 1.