FPGAwars / apio

:seedling: Open source ecosystem for open FPGA boards
https://github.com/FPGAwars/apio/wiki
GNU General Public License v2.0
790 stars 135 forks source link

-D VCD_OUTPUT unused? #160

Closed x8-999-github closed 6 years ago

x8-999-github commented 6 years ago

When running simlulation in apio a parameter is given to iverilog. This parameter is later used to determine the name of the output file. On my setup this does not work (and the replacement does not happen with the effect that my test files become VCD_OUTPUT.vcd (including the)

iverilog -B "/home/why/.apio/packages/toolchain-iverilog/lib/ivl" -o leds_tb.out -D VCD_OUTPUT=leds_tb "/home/why/.apio/packages/toolchain-iverilog/vlib/cells_sim.v" leds.v leds_tb.v
vvp -M "/home/why/.apio/packages/toolchain-iverilog/lib/ivl" leds_tb.out
VCD info: dumpfile `VCD_OUTPUT.vcd opened for output.
End of simulation
gtkwave leds_tb.vcd leds_tb.gtkw
Gtk-Message: 12:53:44.533: Failed to load module "canberra-gtk-module"


GTKWave Analyzer v3.3.86 (w)1999-2017 BSI

Error opening  .vcd file 'leds_tb.vcd'.
Why: No such file or directory
scons: *** [sim] Error 255
========================= [ ERROR ] Took 0.61 seconds =========================

selection_117

selection_118

Jesus89 commented 6 years ago

Hi. I have released apio 0.3.4, that uses the stable toolchain. Could you install this version and test it again?

The stable toolchain has Icarus Verilog v10_1, and the latest toolchain (use in apio 0.4.0 beta) the version v10_2. Maybe there is a different in the management of this flag between versions.

x8-999-github commented 6 years ago

Indeed "downgrading" to 0.3.4 solved my problem ! thanks