FPGAwars / apio

:seedling: Open source ecosystem for open FPGA boards
https://github.com/FPGAwars/apio/wiki
GNU General Public License v2.0
772 stars 131 forks source link

apio graph fails - cant find 'dot' #371

Open pm100 opened 2 months ago

pm100 commented 2 months ago

Windows, fresh install of everything; 'apio upload ' works fine

PS C:\work\nandland\go-board\Blinky> apio graph
yosys -f verilog -p "show -format dot -colors 1 -prefix hardware Test" -q Blinky.v
dot -Tsvg hardware.dot -o hardware.svg
'dot' is not recognized as an internal or external command,
operable program or batch file.
scons: *** [hardware.svg] Error 1
═════════════════════════════════════════════ [ ERROR ] Took 0.36 seconds ═════════════════════════════════════════════
PS C:\work\nandland\go-board\Blinky>
zapta commented 2 months ago

Thanks @pm100. 'graph' is a new command that was added recently. As a woraround, you can install the graphviz package manually as explain at https://graphviz.org/download/ . This should provide the 'dot' command.

@Obijuan, the dot command used to convert the .dot file that yosys generates to .svg. Any idea how to have it installed automatically?

yosys -f verilog -p "show -format dot -colors 1 -prefix hardware main" -q fifo_tx.v i2s_rx.v i2s_test_pattern.v i2s_timing.v main.v meta_stability.v queue.v queue_pusher.v register.v reset_gen.v sine_gen.v status_leds.v

dot -Tsvg hardware.dot -o hardware.svg

EDIT: a portable viewer of .dot file will also be useful, if there is one.

pm100 commented 2 months ago

yes , installing grpahviz solved my problem, Maybe just a doc update needed