Jerryliang123 / blogComment

0 stars 0 forks source link

SystemVerilog枚举类型(enumeration)使用 - Jerry's Blogging #10

Open Jerryliang123 opened 3 years ago

Jerryliang123 commented 3 years ago

https://jerryliang123.github.io/2021/07/10/enum.html

枚举类型是用于定义一组含名字的值的一种方法(每一个值都对应一个名字),本文主要记录为什么使用枚举,怎么定义一组枚举值,怎么使用枚举类型,以及声明枚举类型时需要注意的三个点。