Jerryliang123 / blogComment

0 stars 0 forks source link

SV中的接口(interface) - Jerry's Blogging #14

Open Jerryliang123 opened 3 years ago

Jerryliang123 commented 3 years ago

https://jerryliang123.github.io/2021/07/14/SystemVerilogInterface.html

sv中引入接口的概念,其可以很方便的对信号进行集中管理;当后期信号发生变动时可以很好的维护。这里以通过接口形式搭建一个D-flipflop testbench为例介绍其简单使用。