KhronosGroup / GLSL

GLSL Shading Language Issue Tracker
324 stars 96 forks source link

GLSL Specification 4.60.8: incorrect formatting #214

Open kociap opened 10 months ago

kociap commented 10 months ago

In section 5.7 on page 124 of The OpenGL Shading Language, Version 4.60.8, the paragraph starting with "The arithmetic unary operators..." is incorrectly formatted resulting in missing symbols.

Preserving formatting as much as possible, what it currently looks like:

The arithmetic unary operators plus (*), negate (-), post- and pre-increment and decrement (-- and *+) operate on integer or floating-point values (including vectors and matrices).

What (I believe) it should look like:

The arithmetic unary operators plus (+), negate (-), post- and pre-increment and decrement (-- and ++) operate on integer or floating-point values (including vectors and matrices).

Image of the excerpt:

image

gnl21 commented 6 months ago

Thanks. The operators on that line have been partially interpreted as markup during the spec generation, making the output totally incorrect. I've fixed the spec source so this will be fixed in the next release.