Kreyren / kreyren

Personal tracking for issues that i need to resolve to be used as a reference for someone else and/or for peer-review of the solution
GNU General Public License v3.0
3 stars 0 forks source link

Make FPGA that interprets screen-pong #48

Open Kreyren opened 3 years ago

Kreyren commented 3 years ago

Goal: Interpret https://github.com/juanmard/screen-pong in real life

Requirements

  1. FPGA A) TinyFPGA BX B) Custom FPGA (not recommended by irc.freenode.net/#riscv) C) Mojo FPGA Development board?
  2. Development environment for verilog
  3. [DONE] VGA Monitor
  4. Spare VGA cable that can be interpreted in breadboard
  5. breadboard.. lot of them
  6. Dedicated space for this project

Relevants

  1. Inspiration https://www.youtube.com/watch?v=ZNunxg7o8l0
  2. Referenced tutorial https://www.youtube.com/watch?v=vjBsywUSKWk
  3. Useful tutorial using ESP32 VGA binding https://www.youtube.com/watch?v=qJ68fRff5_k

image

Kreyren commented 3 years ago

Relevant: https://www.nand2tetris.org/