Kuree / hgdb-debugger

BSD 2-Clause "Simplified" License
6 stars 2 forks source link

Can not use with VSCode #10

Open fleebeatles opened 2 years ago

fleebeatles commented 2 years ago

I want to use this great tool for chisel project.

I installed the hgdb-vscode extension within VSCode. And then I added the debug configuration as follows.

ss1

I selected the hgdb configuration for debug and clicked the run button.

ss2

Then I selected the default debug.db and pressed enter.

ss3

VSCode gave the message that the "Unable to connect to simulator using port 8888: Error: connect ECONNREFUSED 0.0.0.0:8888" raised from hgdb-vscode extension.

ss4

Did I mistake something? How can I configure the extension properly and use it? Any help would be appreciated.

Kuree commented 2 years ago

Thanks for reaching out. This error shows up because it cannot connect to the runtime server. How did you set up the simulation? Make sure you follow the set up guide here. If you have trouble setting up the simulator (Verilator requires extra work), you can dump the waveform and use the replay tool here to replay the simulation.

Once you have the runtime running, please also make sure you have extracted out the symbol table properly. You can use this procedure here. The tool takes in a .fir file and produce a toml file, which then can be converted to a debug.db file.