Lampro-Mellon / Caravel_FPU

Apache License 2.0
4 stars 4 forks source link

set ::env(FP_PIN_ORDER_CFG) is missing #14

Open vijayank88 opened 2 years ago

vijayank88 commented 2 years ago

Hi, openlane/user_proj_example/config.tcl has set pin_order.cfg but missing in $script_dir

Can you update your repo with right path?

set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg

Thanks...

vijayank88 commented 2 years ago

Another doubt, have you set intentionally set ::env(CLOCK_PORT) "" ? With current openlane flow failing with setup violations with 20ns clock period? worst slack -13ns