LaurentCabaret / pyVhdl2Sch

pyVhdl2sch is a python based VHDL to (pdf) schematic converter
BSD 2-Clause "Simplified" License
30 stars 8 forks source link

IndexError: list index out of range #10

Closed 164384 closed 5 years ago

164384 commented 6 years ago

I got theese errors.

python3 pyV2S.py tb_test.vhd
Traceback (most recent call last):
  File "pyV2S.py", line 29, in <module>
    reader = Vhdl_reader(filename, options)
  File "/home/pavel/hdl/pyVhdl2Sch-master/file_manager/vhdl_reader.py", line 35, in __init__
    self.parse_vhdl_file()
  File "/home/pavel/hdl/pyVhdl2Sch-master/file_manager/vhdl_reader.py", line 133, in parse_vhdl_file
    if real_words[locate_end + 1] == self.entity.name:
IndexError: list index out of range
Traceback (most recent call last):
  File "pyV2S.py", line 29, in <module>
    reader = Vhdl_reader(filename, options)
  File "/home/pavel/hdl/pyVhdl2Sch-master/file_manager/vhdl_reader.py", line 36, in __init__
    self.parse_entity_part()
  File "/home/pavel/hdl/pyVhdl2Sch-master/file_manager/vhdl_reader.py", line 156, in parse_entity_part
    self.extract_wire(raw_line)
  File "/home/pavel/hdl/pyVhdl2Sch-master/file_manager/vhdl_reader.py", line 181, in extract_wire
    wire_type = vhdl_wire_words[3].lower()
IndexError: list index out of range
LaurentCabaret commented 6 years ago

Thanks for the feedback.

Some question to make a better answer : Are you using windows, linux, macos ?

LaurentCabaret commented 5 years ago

I was not able to reproduce the errors. A possible problem might be that testbenches (the tb_... files) have an empty port list. But still I was not able to reproduce the errors.