LaurentCabaret / pyVhdl2Sch

pyVhdl2sch is a python based VHDL to (pdf) schematic converter
BSD 2-Clause "Simplified" License
30 stars 8 forks source link

From Bart Fox #2

Closed LaurentCabaret closed 9 years ago

LaurentCabaret commented 9 years ago

/pyVhdl2Sch$ git diff diff --git a/file_manager/vhdl_reader.py b/file_manager/vhdl_reader.py index f9576ca..9933442 100644 --- a/file_manager/vhdl_reader.py +++ b/file_manager/vhdl_reader.py @@ -99,10 +99,10 @@ class Vhdl_reader: if wire_type == "integer": nb_wires = 32 else:

Maybe you can enhance the skript to use the data type instead the number of wires for unknown data types? I use a lot of vhdl-recods defined in packages in my projects, so the number of wires is difficult to determine.

regards, Bart

LaurentCabaret commented 9 years ago

Fixed. Thank you