LaurentCabaret / pyVhdl2Sch

pyVhdl2sch is a python based VHDL to (pdf) schematic converter
BSD 2-Clause "Simplified" License
30 stars 8 forks source link

Generation of TestBench on simple code fails #6

Closed Viq111 closed 9 years ago

Viq111 commented 9 years ago

Using vhd file:


entity MUX is
    Port ( RGB : out STD_LOGIC_VECTOR (0 to 2) );
end MUX;

architecture Behavioral of MUX is
begin
    RGB <= "100";
end Behavioral;

This is generated RGB : OUT STD_LOGIC_VECTOR(0 downto 0) but should be: RGB : OUT STD_LOGIC_VECTOR(0 to 2). It happens twice in the code

Viq111 commented 9 years ago

Same for INTEGER which returns INTEGER(0 downto 0)

LaurentCabaret commented 9 years ago

Fixed thanks!