MikeCovrado / GettingVerilatorStartedWithUVM

Simple UVM environment for experimenting with Verilator.
Apache License 2.0
8 stars 1 forks source link

UVM 2020.3.0 compile error #3

Open ezchi opened 3 months ago

ezchi commented 3 months ago

I try to compile UVM 2020.3.0 downloaded from https://github.com/accellera-official/uvm-core/releases/tag/2020.3.0. But I got error as below

 ./run_verilator.sh data0_test             
Using /tmp/uvm-core-2020.3.0/src to point at your UVM class library
%Error-PKGNODECL: /tmp/uvm-core-2020.3.0/src/base/uvm_coreservice.svh:379:42: Package/class 'type_id' not found, and needs to be predeclared (IEEE 1800-2023 26.3)
  379 |             m_hopper = uvm_phase_hopper::type_id::create("default_hopper");
      |                                          ^~~~~~~
                  /tmp/uvm-core-2020.3.0/src/base/uvm_base.svh:54:1: ... note: In file included from 'uvm_base.svh'
                  /tmp/uvm-core-2020.3.0/src/uvm_pkg.sv:58:1: ... note: In file included from 'uvm_pkg.sv'
                  ... For error description see https://verilator.org/warn/PKGNODECL?v=5.025
%Error: Exiting due to 1 error(s)
        ... See the manual at https://verilator.org/verilator_doc.html for more assistance.
./run_verilator.sh: line 148: ./verilator_obj_dir/Vuvm_pkg: No such file or directory

Is it there any requirement for UVM version?

MikeCovrado commented 2 months ago

Hi @ezchi, thanks for your interest. As far as I am aware, Verilator cannot yet compile the official Accellera implementation of SV/UVM. For now, you will need to use the ChipsAlliance version of SV/UVM as detailed in the top-level README of this repo.

MikeCovrado commented 1 week ago

Hi @ezchi, have you made any progress? In Issue #4 there seems to be some progress using v5.029.

If I don't hear from you in a few weeks, I will close this issue.