MikePopoloski / pyslang

Python bindings for slang, a library for compiling SystemVerilog
MIT License
38 stars 6 forks source link

Ship slang driver with the wheel? #88

Open Kuree opened 1 year ago

Kuree commented 1 year ago

This should provide an easy way to install the slang driver. cmake on PYPI is built in a similar fashion using skbuild (see here).

MikePopoloski commented 1 year ago

Not a bad idea, but should probably be a separate package? I imagine the two use cases are pretty different.

Kuree commented 1 year ago

Sounds good. Do you prefer the setup.py in the same repo or a different place?

MikePopoloski commented 1 year ago

Hmm, it probably needs to be a separate repo. I think a lot of the python setup stuff assumes that it all lives at the root of the project.