I believe the below example is a case of a false degenerate report, or if it were degenerate and could never emit a match, I would expect simulation failures for this assertion with VCS.
slangtest167.sv:9:22: error: any sequence that is used as a property shall be nondegenerate and shall not admit any empty match
$fell(a) |-> !$stable(b) within((~a)[*1:$] ##1 a);
^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
slangtest167.sv:7:14: note: while expanding property 'p1'
property p1(clk, rstb, a, b);
^
slangtest167.sv:9:22: note: sequence property can never be matched
$fell(a) |-> !$stable(b) within((~a)[*1:$] ##1 a);
^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
I believe the below example is a case of a false degenerate report, or if it were degenerate and could never emit a match, I would expect simulation failures for this assertion with VCS.