Minres / SystemC-Components

A SystemC productivity library: https://minres.github.io/SystemC-Components/
https://www.minres.com/#opensource
Apache License 2.0
86 stars 24 forks source link

WID is removed in AXI4 #26

Closed drom closed 2 years ago

drom commented 2 years ago

When using the AXI4 pin adapter: "axi::pin::axi4_target" I have to bind the "w_id" port.

https://github.com/Minres/SystemC-Components/blob/0e182dd20e5f483f5ffd6341f55a29931a957561/src/bus_interfaces/axi/signal_if.h#L165

"WID" port was removed in the AXI4 specification. ARM IHI 0022E ID033013 As described below.

image image image image image

eyck commented 2 years ago

Intertestingly this statement cannot be found in the IHI0022H revision of the AMBA spec...

eyck commented 2 years ago

The WID port is now optional. It was not used in the target anyways