MyersResearchGroup / ATACS

Apache License 2.0
9 stars 1 forks source link

parse error #29

Open buggsley opened 7 years ago

buggsley commented 7 years ago

Full_Name: yanyi zhao spec: atacs/work/vhd/{SWvhdl,HWvhdl,NewDecoder}.vhd Version: cvs tag NewDecoder OS: RedHat 7.0 linux command: atacs -oq -ys SWvhdl.vhd HWvhdl.vhd NewDecoder.vhd HWvhdl Submission from: dhcp-66-197.housing.utah.edu (155.101.66.197) Submitted by: yzhao

I did exactly the same thing as i did before, but i got the following errors this time, please help...... :p also i think the error message is not clear enough, i think it should say if the code has the parse error, or it is the ATACS error. In this case, i simulated the code by using ModelSim successfully. :p

ATACS VERSION 5.1 Logging session in: atacs.log Compiling SWvhdl.vhd ... parse error SWvhdl.vhd: 29: syntax error. ERROR: Compilation failed due to above errors.

buggsley commented 7 years ago

That line has a port named "output". ATACS doesn't like this, because it thinks that "output" is a keyword. Indeed this is a bug in ATACS, because "output" is not a VHDL keyword. I think this problem stems from the fact that ATACS shares one scanner (and parser) for both VHDL and CSP/HSE.

NOTE: I haven't done anything about this, this is just FYI.