NSLS-II / Bug-Reports

Unified issue-tracker for bugs in the data acquisition, management, and analysis software at NSLS-II
BSD 3-Clause "New" or "Revised" License
2 stars 5 forks source link

CSX: error with accessing collected data from Xspress3 #191

Open cmazzoli opened 6 years ago

cmazzoli commented 6 years ago
In [16]: list(db['21a1f62d-7dd0-4856-873f-122b0f27c0f5'].data('xsp3_settings_ch1'))
---------------------------------------------------------------------------
IndexError                                Traceback (most recent call last)
~/Beamline/ScienceComm/2018_03_ZP/startup.py in <module>()
----> 1 list(db['21a1f62d-7dd0-4856-873f-122b0f27c0f5'].data('xsp3_settings_ch1'))

/opt/conda_envs/collection-2018-1.0.1/lib/python3.6/site-packages/databroker/_core.py in data(self, field, stream_name, fill)
    475         for event in self.events(stream_name=stream_name,
    476                                  fields=[field],
--> 477                                  fill=fill):
    478             yield event['data'][field]
    479 

/opt/conda_envs/collection-2018-1.0.1/lib/python3.6/site-packages/databroker/_core.py in events(self, stream_name, fields, fill)
    365         ev_gen = self.db.get_events([self], stream_name=stream_name,
    366                                     fields=fields, fill=fill)
--> 367         for ev in ev_gen:
    368             yield ev
    369 

/opt/conda_envs/collection-2018-1.0.1/lib/python3.6/site-packages/databroker/_core.py in get_events(self, headers, stream_name, fields, fill, handler_registry)
   1486                                             stream_name=stream_name,
   1487                                             fill=fill,
-> 1488                                             handler_registry=handler_registry):
   1489             if name == 'event':
   1490                 yield doc

/opt/conda_envs/collection-2018-1.0.1/lib/python3.6/site-packages/databroker/_core.py in get_documents(self, headers, stream_name, fields, fill, handler_registry)
   1559                     for name, doc in gen:
   1560                         if name == 'event':
-> 1561                             doc = proc_gen.send(doc)
   1562                         yield name, self.prepare_hook(name, doc)
   1563                 proc_gen.close()

/opt/conda_envs/collection-2018-1.0.1/lib/python3.6/site-packages/databroker/_core.py in _fill_events_coro(self, descriptors, fields, inplace)
   2012                     d_id = data[dk]
   2013                     data[dk] = (registry_map[(desc_id, dk)]
-> 2014                                 .retrieve(d_id))
   2015                     filled[dk] = d_id
   2016 

/opt/conda_envs/collection-2018-1.0.1/lib/python3.6/site-packages/databroker/assets/base_registry.py in retrieve(self, datum_id)
    179         return self._api.retrieve(self._datum_col, datum_id,
    180                                   self._datum_cache, self.get_spec_handler,
--> 181                                   logger)
    182 
    183     def get_datum(self, datum_id):

/opt/conda_envs/collection-2018-1.0.1/lib/python3.6/site-packages/databroker/assets/core.py in retrieve(col, datum_id, datum_cache, get_spec_handler, logger)
     63     datum = _get_datum_from_datum_id(col, datum_id, datum_cache, logger)
     64     handler = get_spec_handler(datum['resource'])
---> 65     return handler(**datum['datum_kwargs'])
     66 
     67 

/opt/conda_envs/collection-2018-1.0.1/lib/python3.6/site-packages/databroker/assets/handlers.py in __call__(self, frame, channel)
    639         # Don't read out the dataset until it is requested for the first time.
    640         self._get_dataset()
--> 641         return self._dataset[frame, channel - 1, :].squeeze()
    642 
    643     def get_roi(self, chan, bin_low, bin_high, frame=None, max_points=None):

IndexError: index 2 is out of bounds for axis 0 with size 2
mrakitin commented 6 years ago

This scan involved 2 frames/count. In the debug mode I've found that self._dataset has 2 entries, but at the line 641 it's attempted the read the third element of the array (frame=2). When I use 1 frame/count, it works fine.

@tacaswell, any idea what's wrong here?

jrmlhermitte commented 6 years ago

as a first step, i would

stream = db['21a1f62d-7dd0-4856-873f-122b0f27c0f5'].documents()

and keep hitting

next(stream)

and see if anything looks funny

mrakitin commented 6 years ago

Thanks @jrmlhermitte, I tried that, here is the log:

```python mrakitin@xf23id-ws3:~$ bsui Versions of DAMA software: - Bluesky : v1.1.0 - Ophyd : v1.0.0 - Databroker : v0.9.4 Links to Bluesky and Databroker tutorials: - http://nsls-ii.github.io/bluesky/tutorial.html - http://nsls-ii.github.io/databroker/tutorial.html If you get lost or confused, then we want to know! We have a friendly chat channel: https://gitter.im/NSLS-II/DAMA or you can file a bug to let us know where our documentation could be made more clear: https://github.com/NSLS-II/Bug-Reports/issues bsui is running these commands now to start an interactive computing environment for data acquisition: $ conda activate collection-2018-1.0.1 $ ipython --profile=collection Python 3.6.2 |Continuum Analytics, Inc.| (default, Jul 20 2017, 13:51:32) Type 'copyright', 'credits' or 'license' for more information IPython 6.2.1 -- An enhanced Interactive Python. Type '?' for help. IPython profile: collection In [1]: from databroker import Broker In [2]: db = Broker.named('csx') In [3]: stream = db['21a1f62d-7dd0-4856-873f-122b0f27c0f5'].documents() In [4]: next(stream) Out[4]: ('start', {'beamline_id': 'CSX-1', 'config': {}, 'detectors': ['xsp3', 'fccd'], 'group': 'MIT + CSX team', 'hints': {'dimensions': [[['tardis_theta'], 'primary']]}, 'motors': ['tardis_theta'], 'num_intervals': 2, 'num_points': 3, 'plan_args': {'detectors': ["CSXXspress3Detector(prefix='XF:23ID1-ES{XP3}:', name='xsp3', read_attrs=['channel1', 'hdf5'], configuration_attrs=['external_trig', 'total_points', 'spectra_per_point', 'settings', 'rewindable'])", "ProductionCamTriggered(prefix='XF:23ID1-ES{FCCD}', name='fccd', read_attrs=['hdf5', 'mcs.wfrm', 'stats1', 'stats2', 'stats3', 'stats4', 'stats5'], configuration_attrs=['cam.acquire_time', 'cam.acquire_period', 'cam.image_mode', 'cam.num_images', 'cam.sdk_version', 'cam.firmware_version', 'cam.overscan_cols', 'cam.fcric_gain', 'cam.fcric_clamp', 'dg2.A', 'dg2.B', 'dg2.C', 'dg2.D', 'dg2.E', 'dg2.F', 'dg2.G', 'dg2.H', 'dg1.A', 'dg1.B', 'dg1.C', 'dg1.D', 'dg1.E', 'dg1.F', 'dg1.G', 'dg1.H'])"], 'motor': "EpicsMotor(prefix='XF:23ID1-ES{Dif-Ax:Th}Mtr', name='tardis_theta', parent='tardis', settle_time=0.0, timeout=None, read_attrs=['user_readback', 'user_setpoint'], configuration_attrs=['motor_egu', 'velocity', 'acceleration', 'user_offset', 'user_offset_dir'])", 'num': 3, 'per_step': 'None', 'start': -0.2, 'stop': 0.2}, 'plan_name': 'rel_scan', 'plan_pattern': 'linspace', 'plan_pattern_args': {'num': 3, 'start': -0.2, 'stop': 0.2}, 'plan_pattern_module': 'numpy', 'plan_type': 'generator', 'project': 'Prop. 302721, SAF 302309', 'sample': {'composition': 'Sample #2, YBCO 6.67, ortho VIII, TCDW ~ 140K; straight beamline configuration', 'lattice': [3.84, 3.84, 12.7, 90, 90, 90], 'type': 'Au square on Si3N4'}, 'scan_id': 99097, 'source configuration': 'Canted', 'time': 1522282832.5571997, 'uid': '21a1f62d-7dd0-4856-873f-122b0f27c0f5'}) In [5]: next(stream) Out[5]: ('descriptor', {'configuration': {'fccd': {'data': {'fccd_cam_acquire_period': 0.3, 'fccd_cam_acquire_time': 0.10750000000000001, 'fccd_cam_fcric_clamp': 0, 'fccd_cam_fcric_gain': 0, 'fccd_cam_firmware_version': '0x302D', 'fccd_cam_image_mode': 1, 'fccd_cam_num_images': 3, 'fccd_cam_overscan_cols': 0, 'fccd_cam_sdk_version': 'v1.0.0-RC1-31-g5c7e460-dirty', 'fccd_dg1_A': 0.0, 'fccd_dg1_B': 0.1035, 'fccd_dg1_C': 0.0105, 'fccd_dg1_D': 0.092, 'fccd_dg1_E': 0.0, 'fccd_dg1_F': 0.001, 'fccd_dg1_G': 0.0035, 'fccd_dg1_H': 0.1035, 'fccd_dg2_A': 0.0, 'fccd_dg2_B': 0.0005, 'fccd_dg2_C': 0.01, 'fccd_dg2_D': 0.1, 'fccd_dg2_E': 0.0, 'fccd_dg2_F': 1e-06, 'fccd_dg2_G': 0.004, 'fccd_dg2_H': 0.005}, 'data_keys': {'fccd_cam_acquire_period': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}cam1:AcquirePeriod_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'fccd_cam_acquire_time': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}cam1:AcquireTime_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'fccd_cam_fcric_clamp': {'dtype': 'integer', 'enum_strs': ['CLAMP OFF', 'CLAMP ON'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}cam1:FCRICClamp_RBV', 'units': None, 'upper_ctrl_limit': None}, 'fccd_cam_fcric_gain': {'dtype': 'integer', 'enum_strs': ['Auto', 'x2', 'x1'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}cam1:FCRICGain_RBV', 'units': None, 'upper_ctrl_limit': None}, 'fccd_cam_firmware_version': {'dtype': 'string', 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}cam1:FirmwareVersion_RBV', 'units': None, 'upper_ctrl_limit': None}, 'fccd_cam_image_mode': {'dtype': 'integer', 'enum_strs': ['Single', 'Multiple', 'Continuous'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}cam1:ImageMode_RBV', 'units': None, 'upper_ctrl_limit': None}, 'fccd_cam_num_images': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}cam1:NumImages_RBV', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_cam_overscan_cols': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}cam1:OverscanCols_RBV', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_cam_sdk_version': {'dtype': 'string', 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}cam1:SDKVersion_RBV', 'units': None, 'upper_ctrl_limit': None}, 'fccd_dg1_A': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:1-Chan:A}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg1_B': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:1-Chan:B}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg1_C': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:1-Chan:C}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg1_D': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:1-Chan:D}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg1_E': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:1-Chan:E}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg1_F': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:1-Chan:F}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg1_G': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:1-Chan:G}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg1_H': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:1-Chan:H}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg2_A': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:2-Chan:A}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg2_B': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:2-Chan:B}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg2_C': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:2-Chan:C}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg2_D': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:2-Chan:D}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg2_E': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:2-Chan:E}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg2_F': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:2-Chan:F}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg2_G': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:2-Chan:G}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}, 'fccd_dg2_H': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 9, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dly:2-Chan:H}DO:Dly-RB', 'units': 'S', 'upper_ctrl_limit': 0.0}}, 'timestamps': {'fccd_cam_acquire_period': 1522263093.21026, 'fccd_cam_acquire_time': 1522263093.210247, 'fccd_cam_fcric_clamp': 1521384194.812341, 'fccd_cam_fcric_gain': 1522261218.317466, 'fccd_cam_firmware_version': 1521384194.81257, 'fccd_cam_image_mode': 1522282830.464049, 'fccd_cam_num_images': 1522279929.074167, 'fccd_cam_overscan_cols': 1521384194.812328, 'fccd_cam_sdk_version': 1521384194.812506, 'fccd_dg1_A': 1522282840.446484, 'fccd_dg1_B': 1522282840.44836, 'fccd_dg1_C': 1522282840.45391, 'fccd_dg1_D': 1522282840.45586, 'fccd_dg1_E': 1522282840.461408, 'fccd_dg1_F': 1522282840.463236, 'fccd_dg1_G': 1522282840.468793, 'fccd_dg1_H': 1522282840.470652, 'fccd_dg2_A': 1522282840.446667, 'fccd_dg2_B': 1522282840.448591, 'fccd_dg2_C': 1522282840.454291, 'fccd_dg2_D': 1522282840.456138, 'fccd_dg2_E': 1522282840.461744, 'fccd_dg2_F': 1522282840.463531, 'fccd_dg2_G': 1522282840.469264, 'fccd_dg2_H': 1522282840.471108}}, 'tardis_theta': {'data': {'tardis_theta_acceleration': 1.0, 'tardis_theta_motor_egu': 'deg', 'tardis_theta_user_offset': 89.5, 'tardis_theta_user_offset_dir': 0, 'tardis_theta_velocity': 0.5}, 'data_keys': {'tardis_theta_acceleration': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Th}Mtr.ACCL', 'units': 'sec', 'upper_ctrl_limit': 1e+300}, 'tardis_theta_motor_egu': {'dtype': 'string', 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Th}Mtr.EGU', 'units': None, 'upper_ctrl_limit': None}, 'tardis_theta_user_offset': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Th}Mtr.OFF', 'units': 'deg', 'upper_ctrl_limit': 1e+300}, 'tardis_theta_user_offset_dir': {'dtype': 'integer', 'enum_strs': ['Pos', 'Neg'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Th}Mtr.DIR', 'units': None, 'upper_ctrl_limit': None}, 'tardis_theta_velocity': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Th}Mtr.VELO', 'units': 'deg/sec', 'upper_ctrl_limit': 2.0}}, 'timestamps': {'tardis_theta_acceleration': 1522282840.769053, 'tardis_theta_motor_egu': 1522282840.769053, 'tardis_theta_user_offset': 1522282840.769053, 'tardis_theta_user_offset_dir': 1522282840.769053, 'tardis_theta_velocity': 1522282840.769053}}, 'xsp3': {'data': {'xsp3_external_trig': False, 'xsp3_rewindable': False, 'xsp3_settings_config_path': '/epics/xf/23id/apps/xspress3/support/xspress3-epics/xspress3_settings/1channel', 'xsp3_settings_config_save_path': '', 'xsp3_spectra_per_point': 1, 'xsp3_total_points': 2}, 'data_keys': {'xsp3_external_trig': {'dtype': 'number', 'shape': [], 'source': 'SIM:xsp3_external_trig'}, 'xsp3_rewindable': {'dtype': 'number', 'shape': [], 'source': 'SIM:xsp3_rewindable'}, 'xsp3_settings_config_path': {'dtype': 'string', 'lower_ctrl_limit': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:CONFIG_PATH_RBV', 'units': '', 'upper_ctrl_limit': 0}, 'xsp3_settings_config_save_path': {'dtype': 'string', 'lower_ctrl_limit': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:CONFIG_SAVE_PATH_RBV', 'units': '', 'upper_ctrl_limit': 0}, 'xsp3_spectra_per_point': {'dtype': 'number', 'shape': [], 'source': 'SIM:xsp3_spectra_per_point'}, 'xsp3_total_points': {'dtype': 'number', 'shape': [], 'source': 'SIM:xsp3_total_points'}}, 'timestamps': {'xsp3_external_trig': 1522282567.2781303, 'xsp3_rewindable': 1522282567.2783198, 'xsp3_settings_config_path': 1521751499.179056, 'xsp3_settings_config_save_path': 631152000.0, 'xsp3_spectra_per_point': 1522282567.278228, 'xsp3_total_points': 1522282567.2781808}}}, 'data_keys': {'fccd_image': {'dtype': 'array', 'external': 'FILESTORE:', 'object_name': 'fccd', 'shape': [1152, 2050, 0], 'source': 'PV:XF:23ID1-ES{FCCD}'}, 'fccd_mcs_wfrm_wfrm_1': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:1', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_10': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:10', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_11': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:11', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_12': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:12', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_13': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:13', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_14': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:14', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_15': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:15', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_16': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:16', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_17': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:17', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_18': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:18', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_19': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:19', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_2': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:2', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_20': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:20', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_21': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:21', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_22': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:22', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_23': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:23', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_24': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:24', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_25': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:25', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_26': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:26', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_27': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:27', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_28': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:28', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_29': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:29', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_3': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:3', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_30': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:30', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_31': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:31', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_32': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:32', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_4': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:4', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_5': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:5', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_6': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:6', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_7': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:7', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_8': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:8', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_mcs_wfrm_wfrm_9': {'dtype': 'array', 'lower_ctrl_limit': 0, 'object_name': 'fccd', 'shape': [2], 'source': 'PV:XF:23ID1-ES{Sclr:1}Wfrm:9', 'units': '', 'upper_ctrl_limit': 0}, 'fccd_stats1_total': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'fccd', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}Stats1:Total_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'fccd_stats2_total': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'fccd', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}Stats2:Total_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'fccd_stats3_total': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'fccd', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}Stats3:Total_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'fccd_stats4_total': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'fccd', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}Stats4:Total_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'fccd_stats5_total': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'fccd', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{FCCD}Stats5:Total_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'tardis_theta': {'dtype': 'number', 'lower_ctrl_limit': -496.5, 'object_name': 'tardis_theta', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Th}Mtr.RBV', 'units': 'deg', 'upper_ctrl_limit': 414.5}, 'tardis_theta_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -496.5, 'object_name': 'tardis_theta', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Th}Mtr.VAL', 'units': 'deg', 'upper_ctrl_limit': 414.5}, 'xsp3_accumulated_roi_01': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI1:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_02': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI2:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_03': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI3:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_04': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI4:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_05': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI5:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_06': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI6:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_07': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI7:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_08': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI8:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_09': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI9:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_10': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI10:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_11': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI11:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_12': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI12:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_13': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI13:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_14': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI14:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_15': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI15:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_accumulated_roi_16': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI16:ValueSum_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_channel1_rois_num_rois': {'dtype': 'number', 'object_name': 'xsp3', 'shape': [], 'source': 'SIM:xsp3_channel1_rois_num_rois'}, 'xsp3_roi_01': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI1:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_02': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI2:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_03': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI3:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_04': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI4:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_05': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI5:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_06': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI6:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_07': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI7:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_08': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI8:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_09': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI9:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_10': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI10:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_11': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI11:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_12': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI12:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_13': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI13:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_14': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI14:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_15': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI15:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_roi_16': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'xsp3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{XP3}:C1_ROI16:Value_RBV', 'units': '', 'upper_ctrl_limit': 0.0}, 'xsp3_settings_ch1': {'dtype': 'array', 'external': 'FILESTORE:', 'object_name': 'xsp3', 'shape': [4096], 'source': 'FileStore:'}}, 'hints': {'fccd': {'fields': ['fccd_stats3_total', 'fccd_stats4_total']}, 'tardis_theta': {'fields': ['tardis_theta']}, 'xsp3': {'fields': ['xsp3_roi_03', 'xsp3_accumulated_roi_03']}}, 'name': 'primary', 'object_keys': {'fccd': ['fccd_image', 'fccd_mcs_wfrm_wfrm_26', 'fccd_mcs_wfrm_wfrm_7', 'fccd_mcs_wfrm_wfrm_20', 'fccd_mcs_wfrm_wfrm_10', 'fccd_mcs_wfrm_wfrm_25', 'fccd_mcs_wfrm_wfrm_3', 'fccd_mcs_wfrm_wfrm_27', 'fccd_mcs_wfrm_wfrm_5', 'fccd_mcs_wfrm_wfrm_18', 'fccd_mcs_wfrm_wfrm_31', 'fccd_mcs_wfrm_wfrm_22', 'fccd_mcs_wfrm_wfrm_17', 'fccd_mcs_wfrm_wfrm_2', 'fccd_mcs_wfrm_wfrm_19', 'fccd_mcs_wfrm_wfrm_12', 'fccd_mcs_wfrm_wfrm_4', 'fccd_mcs_wfrm_wfrm_32', 'fccd_mcs_wfrm_wfrm_9', 'fccd_mcs_wfrm_wfrm_8', 'fccd_mcs_wfrm_wfrm_21', 'fccd_mcs_wfrm_wfrm_24', 'fccd_mcs_wfrm_wfrm_11', 'fccd_mcs_wfrm_wfrm_16', 'fccd_mcs_wfrm_wfrm_6', 'fccd_mcs_wfrm_wfrm_28', 'fccd_mcs_wfrm_wfrm_14', 'fccd_mcs_wfrm_wfrm_13', 'fccd_mcs_wfrm_wfrm_23', 'fccd_mcs_wfrm_wfrm_15', 'fccd_mcs_wfrm_wfrm_30', 'fccd_mcs_wfrm_wfrm_29', 'fccd_mcs_wfrm_wfrm_1', 'fccd_stats1_total', 'fccd_stats2_total', 'fccd_stats3_total', 'fccd_stats4_total', 'fccd_stats5_total'], 'tardis_theta': ['tardis_theta', 'tardis_theta_user_setpoint'], 'xsp3': ['xsp3_roi_05', 'xsp3_accumulated_roi_05', 'xsp3_roi_10', 'xsp3_accumulated_roi_10', 'xsp3_roi_13', 'xsp3_accumulated_roi_13', 'xsp3_roi_06', 'xsp3_accumulated_roi_06', 'xsp3_roi_08', 'xsp3_accumulated_roi_08', 'xsp3_roi_11', 'xsp3_accumulated_roi_11', 'xsp3_roi_01', 'xsp3_accumulated_roi_01', 'xsp3_roi_15', 'xsp3_accumulated_roi_15', 'xsp3_channel1_rois_num_rois', 'xsp3_roi_04', 'xsp3_accumulated_roi_04', 'xsp3_roi_14', 'xsp3_accumulated_roi_14', 'xsp3_roi_09', 'xsp3_accumulated_roi_09', 'xsp3_roi_03', 'xsp3_accumulated_roi_03', 'xsp3_roi_12', 'xsp3_accumulated_roi_12', 'xsp3_roi_16', 'xsp3_accumulated_roi_16', 'xsp3_roi_02', 'xsp3_accumulated_roi_02', 'xsp3_roi_07', 'xsp3_accumulated_roi_07', 'xsp3_settings_ch1']}, 'run_start': '21a1f62d-7dd0-4856-873f-122b0f27c0f5', 'time': 1522282841.2401052, 'uid': '7846e9cb-1d76-475d-9136-50c95132a821'}) In [6]: next(stream) Out[6]: ('event', {'data': {'fccd_image': '28dc25b7-234d-4579-a2ac-fe43524c2b58', 'fccd_mcs_wfrm_wfrm_1': array([14999951, 14999951]), 'fccd_mcs_wfrm_wfrm_10': array([0, 0]), 'fccd_mcs_wfrm_wfrm_11': array([0, 0]), 'fccd_mcs_wfrm_wfrm_12': array([0, 0]), 'fccd_mcs_wfrm_wfrm_13': array([0, 0]), 'fccd_mcs_wfrm_wfrm_14': array([0, 0]), 'fccd_mcs_wfrm_wfrm_15': array([0, 0]), 'fccd_mcs_wfrm_wfrm_16': array([0, 0]), 'fccd_mcs_wfrm_wfrm_17': array([0, 0]), 'fccd_mcs_wfrm_wfrm_18': array([0, 0]), 'fccd_mcs_wfrm_wfrm_19': array([0, 0]), 'fccd_mcs_wfrm_wfrm_2': array([27, 26]), 'fccd_mcs_wfrm_wfrm_20': array([0, 0]), 'fccd_mcs_wfrm_wfrm_21': array([0, 0]), 'fccd_mcs_wfrm_wfrm_22': array([0, 0]), 'fccd_mcs_wfrm_wfrm_23': array([0, 0]), 'fccd_mcs_wfrm_wfrm_24': array([0, 0]), 'fccd_mcs_wfrm_wfrm_25': array([0, 0]), 'fccd_mcs_wfrm_wfrm_26': array([0, 0]), 'fccd_mcs_wfrm_wfrm_27': array([0, 0]), 'fccd_mcs_wfrm_wfrm_28': array([0, 0]), 'fccd_mcs_wfrm_wfrm_29': array([0, 0]), 'fccd_mcs_wfrm_wfrm_3': array([53797, 53788]), 'fccd_mcs_wfrm_wfrm_30': array([0, 0]), 'fccd_mcs_wfrm_wfrm_31': array([0, 0]), 'fccd_mcs_wfrm_wfrm_32': array([0, 0]), 'fccd_mcs_wfrm_wfrm_4': array([30295, 30308]), 'fccd_mcs_wfrm_wfrm_5': array([108, 108]), 'fccd_mcs_wfrm_wfrm_6': array([0, 0]), 'fccd_mcs_wfrm_wfrm_7': array([0, 0]), 'fccd_mcs_wfrm_wfrm_8': array([0, 0]), 'fccd_mcs_wfrm_wfrm_9': array([0, 0]), 'fccd_stats1_total': 9524543.0, 'fccd_stats2_total': 26390957.0, 'fccd_stats3_total': 9320618.0, 'fccd_stats4_total': 58156486.0, 'fccd_stats5_total': 154239776.0, 'tardis_theta': 119.80001016922219, 'tardis_theta_user_setpoint': 119.80000847430516, 'xsp3_accumulated_roi_01': 81965.54817385641, 'xsp3_accumulated_roi_02': 5700.455822698722, 'xsp3_accumulated_roi_03': 61684.926484644195, 'xsp3_accumulated_roi_04': 75334.02389688598, 'xsp3_accumulated_roi_05': 0.0, 'xsp3_accumulated_roi_06': 0.0, 'xsp3_accumulated_roi_07': 0.0, 'xsp3_accumulated_roi_08': 0.0, 'xsp3_accumulated_roi_09': 0.0, 'xsp3_accumulated_roi_10': 0.0, 'xsp3_accumulated_roi_11': 0.0, 'xsp3_accumulated_roi_12': 0.0, 'xsp3_accumulated_roi_13': 0.0, 'xsp3_accumulated_roi_14': 0.0, 'xsp3_accumulated_roi_15': 0.0, 'xsp3_accumulated_roi_16': 0.0, 'xsp3_channel1_rois_num_rois': 16, 'xsp3_roi_01': 119.00351952909004, 'xsp3_roi_02': 0.0, 'xsp3_roi_03': 119.00351952909004, 'xsp3_roi_04': 0.0, 'xsp3_roi_05': 0.0, 'xsp3_roi_06': 0.0, 'xsp3_roi_07': 0.0, 'xsp3_roi_08': 0.0, 'xsp3_roi_09': 0.0, 'xsp3_roi_10': 0.0, 'xsp3_roi_11': 0.0, 'xsp3_roi_12': 0.0, 'xsp3_roi_13': 0.0, 'xsp3_roi_14': 0.0, 'xsp3_roi_15': 0.0, 'xsp3_roi_16': 0.0, 'xsp3_settings_ch1': '764ef7e3-cba7-421b-b63c-c39da87652da'}, 'descriptor': '7846e9cb-1d76-475d-9136-50c95132a821', 'filled': {'fccd_image': False, 'xsp3_settings_ch1': False}, 'seq_num': 1, 'time': 1522282841.5878415, 'timestamps': {'fccd_image': 1522282838.697803, 'fccd_mcs_wfrm_wfrm_1': 1522282840.92387, 'fccd_mcs_wfrm_wfrm_10': 1522282840.9311, 'fccd_mcs_wfrm_wfrm_11': 1522282840.931758, 'fccd_mcs_wfrm_wfrm_12': 1522282840.932408, 'fccd_mcs_wfrm_wfrm_13': 1522282840.933054, 'fccd_mcs_wfrm_wfrm_14': 1522282840.933732, 'fccd_mcs_wfrm_wfrm_15': 1522282840.934377, 'fccd_mcs_wfrm_wfrm_16': 1522282840.935034, 'fccd_mcs_wfrm_wfrm_17': 1522282840.935716, 'fccd_mcs_wfrm_wfrm_18': 1522282840.936367, 'fccd_mcs_wfrm_wfrm_19': 1522282840.937088, 'fccd_mcs_wfrm_wfrm_2': 1522282840.92467, 'fccd_mcs_wfrm_wfrm_20': 1522282840.937717, 'fccd_mcs_wfrm_wfrm_21': 1522282840.938354, 'fccd_mcs_wfrm_wfrm_22': 1522282840.939016, 'fccd_mcs_wfrm_wfrm_23': 1522282840.939635, 'fccd_mcs_wfrm_wfrm_24': 1522282840.940268, 'fccd_mcs_wfrm_wfrm_25': 1522282840.940939, 'fccd_mcs_wfrm_wfrm_26': 1522282840.941612, 'fccd_mcs_wfrm_wfrm_27': 1522282840.942214, 'fccd_mcs_wfrm_wfrm_28': 1522282840.942829, 'fccd_mcs_wfrm_wfrm_29': 1522282840.94345, 'fccd_mcs_wfrm_wfrm_3': 1522282840.92544, 'fccd_mcs_wfrm_wfrm_30': 1522282840.944138, 'fccd_mcs_wfrm_wfrm_31': 1522282840.944845, 'fccd_mcs_wfrm_wfrm_32': 1522282840.945603, 'fccd_mcs_wfrm_wfrm_4': 1522282840.926251, 'fccd_mcs_wfrm_wfrm_5': 1522282840.927192, 'fccd_mcs_wfrm_wfrm_6': 1522282840.928065, 'fccd_mcs_wfrm_wfrm_7': 1522282840.928968, 'fccd_mcs_wfrm_wfrm_8': 1522282840.929734, 'fccd_mcs_wfrm_wfrm_9': 1522282840.930438, 'fccd_stats1_total': 1522282839.519614, 'fccd_stats2_total': 1522282839.521379, 'fccd_stats3_total': 1522282839.52219, 'fccd_stats4_total': 1522282839.52663, 'fccd_stats5_total': 1522282839.538611, 'tardis_theta': 1522282837.687685, 'tardis_theta_user_setpoint': 1522282840.769053, 'xsp3_accumulated_roi_01': 1522282840.844793, 'xsp3_accumulated_roi_02': 1522282839.845706, 'xsp3_accumulated_roi_03': 1522282840.845115, 'xsp3_accumulated_roi_04': 1522282839.845905, 'xsp3_accumulated_roi_05': 1521751499.176999, 'xsp3_accumulated_roi_06': 1521751499.17724, 'xsp3_accumulated_roi_07': 1521751499.177357, 'xsp3_accumulated_roi_08': 1521751499.177421, 'xsp3_accumulated_roi_09': 1521751499.177556, 'xsp3_accumulated_roi_10': 1521751499.177683, 'xsp3_accumulated_roi_11': 1521751499.177796, 'xsp3_accumulated_roi_12': 1521751499.177929, 'xsp3_accumulated_roi_13': 1521751499.17802, 'xsp3_accumulated_roi_14': 1521751499.178122, 'xsp3_accumulated_roi_15': 1521751499.178194, 'xsp3_accumulated_roi_16': 1521751499.178266, 'xsp3_channel1_rois_num_rois': 1522282567.3710074, 'xsp3_roi_01': 1522282840.844778, 'xsp3_roi_02': 1522282840.844824, 'xsp3_roi_03': 1522282840.845063, 'xsp3_roi_04': 1522282840.8453, 'xsp3_roi_05': 1521751499.176997, 'xsp3_roi_06': 1521751499.177237, 'xsp3_roi_07': 1521751499.177345, 'xsp3_roi_08': 1521751499.177419, 'xsp3_roi_09': 1521751499.177548, 'xsp3_roi_10': 1521751499.177681, 'xsp3_roi_11': 1521751499.177791, 'xsp3_roi_12': 1521751499.177927, 'xsp3_roi_13': 1521751499.178018, 'xsp3_roi_14': 1521751499.178119, 'xsp3_roi_15': 1521751499.178191, 'xsp3_roi_16': 1521751499.178264, 'xsp3_settings_ch1': 1522282840.8835752}, 'uid': 'a42f27db-dadb-481b-b07c-e45775942231'}) In [7]: next(stream) Out[7]: ('event', {'data': {'fccd_image': '236e1e8e-ea75-42eb-874f-2423dc5dc0e0', 'fccd_mcs_wfrm_wfrm_1': array([14998191, 14999951]), 'fccd_mcs_wfrm_wfrm_10': array([0, 0]), 'fccd_mcs_wfrm_wfrm_11': array([0, 0]), 'fccd_mcs_wfrm_wfrm_12': array([0, 0]), 'fccd_mcs_wfrm_wfrm_13': array([0, 0]), 'fccd_mcs_wfrm_wfrm_14': array([0, 0]), 'fccd_mcs_wfrm_wfrm_15': array([0, 0]), 'fccd_mcs_wfrm_wfrm_16': array([0, 0]), 'fccd_mcs_wfrm_wfrm_17': array([0, 0]), 'fccd_mcs_wfrm_wfrm_18': array([0, 0]), 'fccd_mcs_wfrm_wfrm_19': array([0, 0]), 'fccd_mcs_wfrm_wfrm_2': array([26, 26]), 'fccd_mcs_wfrm_wfrm_20': array([0, 0]), 'fccd_mcs_wfrm_wfrm_21': array([0, 0]), 'fccd_mcs_wfrm_wfrm_22': array([0, 0]), 'fccd_mcs_wfrm_wfrm_23': array([0, 0]), 'fccd_mcs_wfrm_wfrm_24': array([0, 0]), 'fccd_mcs_wfrm_wfrm_25': array([0, 0]), 'fccd_mcs_wfrm_wfrm_26': array([0, 0]), 'fccd_mcs_wfrm_wfrm_27': array([0, 0]), 'fccd_mcs_wfrm_wfrm_28': array([0, 0]), 'fccd_mcs_wfrm_wfrm_29': array([0, 0]), 'fccd_mcs_wfrm_wfrm_3': array([53783, 53789]), 'fccd_mcs_wfrm_wfrm_30': array([0, 0]), 'fccd_mcs_wfrm_wfrm_31': array([0, 0]), 'fccd_mcs_wfrm_wfrm_32': array([0, 0]), 'fccd_mcs_wfrm_wfrm_4': array([30341, 30335]), 'fccd_mcs_wfrm_wfrm_5': array([108, 107]), 'fccd_mcs_wfrm_wfrm_6': array([0, 0]), 'fccd_mcs_wfrm_wfrm_7': array([0, 0]), 'fccd_mcs_wfrm_wfrm_8': array([0, 0]), 'fccd_mcs_wfrm_wfrm_9': array([0, 0]), 'fccd_stats1_total': 9447783.0, 'fccd_stats2_total': 26269726.0, 'fccd_stats3_total': 9227262.0, 'fccd_stats4_total': 57642172.0, 'fccd_stats5_total': 153078693.0, 'tardis_theta': 120.00000847430516, 'tardis_theta_user_setpoint': 120.00000847430516, 'xsp3_accumulated_roi_01': 81443.46446920604, 'xsp3_accumulated_roi_02': 6052.480895386673, 'xsp3_accumulated_roi_03': 61410.872629377336, 'xsp3_accumulated_roi_04': 74337.90707594094, 'xsp3_accumulated_roi_05': 0.0, 'xsp3_accumulated_roi_06': 0.0, 'xsp3_accumulated_roi_07': 0.0, 'xsp3_accumulated_roi_08': 0.0, 'xsp3_accumulated_roi_09': 0.0, 'xsp3_accumulated_roi_10': 0.0, 'xsp3_accumulated_roi_11': 0.0, 'xsp3_accumulated_roi_12': 0.0, 'xsp3_accumulated_roi_13': 0.0, 'xsp3_accumulated_roi_14': 0.0, 'xsp3_accumulated_roi_15': 0.0, 'xsp3_accumulated_roi_16': 0.0, 'xsp3_channel1_rois_num_rois': 16, 'xsp3_roi_01': 145.0042903144397, 'xsp3_roi_02': 1.0000295883754462, 'xsp3_roi_03': 145.0042903144397, 'xsp3_roi_04': 0.0, 'xsp3_roi_05': 0.0, 'xsp3_roi_06': 0.0, 'xsp3_roi_07': 0.0, 'xsp3_roi_08': 0.0, 'xsp3_roi_09': 0.0, 'xsp3_roi_10': 0.0, 'xsp3_roi_11': 0.0, 'xsp3_roi_12': 0.0, 'xsp3_roi_13': 0.0, 'xsp3_roi_14': 0.0, 'xsp3_roi_15': 0.0, 'xsp3_roi_16': 0.0, 'xsp3_settings_ch1': 'fd130d5b-f782-4e78-9d31-bb819259cdd9'}, 'descriptor': '7846e9cb-1d76-475d-9136-50c95132a821', 'filled': {'fccd_image': False, 'xsp3_settings_ch1': False}, 'seq_num': 2, 'time': 1522282848.0919216, 'timestamps': {'fccd_image': 1522282845.8097744, 'fccd_mcs_wfrm_wfrm_1': 1522282848.006173, 'fccd_mcs_wfrm_wfrm_10': 1522282848.012828, 'fccd_mcs_wfrm_wfrm_11': 1522282848.013649, 'fccd_mcs_wfrm_wfrm_12': 1522282848.014421, 'fccd_mcs_wfrm_wfrm_13': 1522282848.015122, 'fccd_mcs_wfrm_wfrm_14': 1522282848.015896, 'fccd_mcs_wfrm_wfrm_15': 1522282848.016574, 'fccd_mcs_wfrm_wfrm_16': 1522282848.01728, 'fccd_mcs_wfrm_wfrm_17': 1522282848.018076, 'fccd_mcs_wfrm_wfrm_18': 1522282848.018911, 'fccd_mcs_wfrm_wfrm_19': 1522282848.019702, 'fccd_mcs_wfrm_wfrm_2': 1522282848.00691, 'fccd_mcs_wfrm_wfrm_20': 1522282848.020476, 'fccd_mcs_wfrm_wfrm_21': 1522282848.021199, 'fccd_mcs_wfrm_wfrm_22': 1522282848.021983, 'fccd_mcs_wfrm_wfrm_23': 1522282848.022697, 'fccd_mcs_wfrm_wfrm_24': 1522282848.02341, 'fccd_mcs_wfrm_wfrm_25': 1522282848.024103, 'fccd_mcs_wfrm_wfrm_26': 1522282848.024766, 'fccd_mcs_wfrm_wfrm_27': 1522282848.025639, 'fccd_mcs_wfrm_wfrm_28': 1522282848.026322, 'fccd_mcs_wfrm_wfrm_29': 1522282848.027384, 'fccd_mcs_wfrm_wfrm_3': 1522282848.007551, 'fccd_mcs_wfrm_wfrm_30': 1522282848.02815, 'fccd_mcs_wfrm_wfrm_31': 1522282848.028886, 'fccd_mcs_wfrm_wfrm_32': 1522282848.029637, 'fccd_mcs_wfrm_wfrm_4': 1522282848.00829, 'fccd_mcs_wfrm_wfrm_5': 1522282848.009003, 'fccd_mcs_wfrm_wfrm_6': 1522282848.009716, 'fccd_mcs_wfrm_wfrm_7': 1522282848.010485, 'fccd_mcs_wfrm_wfrm_8': 1522282848.011204, 'fccd_mcs_wfrm_wfrm_9': 1522282848.012028, 'fccd_stats1_total': 1522282846.613872, 'fccd_stats2_total': 1522282846.615287, 'fccd_stats3_total': 1522282846.615936, 'fccd_stats4_total': 1522282846.619466, 'fccd_stats5_total': 1522282846.629099, 'tardis_theta': 1522282847.929408, 'tardis_theta_user_setpoint': 1522282847.929408, 'xsp3_accumulated_roi_01': 1522282847.967504, 'xsp3_accumulated_roi_02': 1522282847.96818, 'xsp3_accumulated_roi_03': 1522282847.968194, 'xsp3_accumulated_roi_04': 1522282846.968452, 'xsp3_accumulated_roi_05': 1521751499.176999, 'xsp3_accumulated_roi_06': 1521751499.17724, 'xsp3_accumulated_roi_07': 1521751499.177357, 'xsp3_accumulated_roi_08': 1521751499.177421, 'xsp3_accumulated_roi_09': 1521751499.177556, 'xsp3_accumulated_roi_10': 1521751499.177683, 'xsp3_accumulated_roi_11': 1521751499.177796, 'xsp3_accumulated_roi_12': 1521751499.177929, 'xsp3_accumulated_roi_13': 1521751499.17802, 'xsp3_accumulated_roi_14': 1521751499.178122, 'xsp3_accumulated_roi_15': 1521751499.178194, 'xsp3_accumulated_roi_16': 1521751499.178266, 'xsp3_channel1_rois_num_rois': 1522282567.3710074, 'xsp3_roi_01': 1522282847.96749, 'xsp3_roi_02': 1522282847.968176, 'xsp3_roi_03': 1522282847.96819, 'xsp3_roi_04': 1522282847.968237, 'xsp3_roi_05': 1521751499.176997, 'xsp3_roi_06': 1521751499.177237, 'xsp3_roi_07': 1521751499.177345, 'xsp3_roi_08': 1521751499.177419, 'xsp3_roi_09': 1521751499.177548, 'xsp3_roi_10': 1521751499.177681, 'xsp3_roi_11': 1521751499.177791, 'xsp3_roi_12': 1521751499.177927, 'xsp3_roi_13': 1521751499.178018, 'xsp3_roi_14': 1521751499.178119, 'xsp3_roi_15': 1521751499.178191, 'xsp3_roi_16': 1521751499.178264, 'xsp3_settings_ch1': 1522282848.002516}, 'uid': 'd6db2cae-2da7-4c5a-ab0d-764892a82520'}) In [8]: next(stream) Out[8]: ('event', {'data': {'fccd_image': 'cf394332-075f-482f-a40b-cb45bd9a35e5', 'fccd_mcs_wfrm_wfrm_1': array([14998191, 14999951]), 'fccd_mcs_wfrm_wfrm_10': array([0, 0]), 'fccd_mcs_wfrm_wfrm_11': array([0, 0]), 'fccd_mcs_wfrm_wfrm_12': array([0, 0]), 'fccd_mcs_wfrm_wfrm_13': array([0, 0]), 'fccd_mcs_wfrm_wfrm_14': array([0, 0]), 'fccd_mcs_wfrm_wfrm_15': array([0, 0]), 'fccd_mcs_wfrm_wfrm_16': array([0, 0]), 'fccd_mcs_wfrm_wfrm_17': array([0, 0]), 'fccd_mcs_wfrm_wfrm_18': array([0, 0]), 'fccd_mcs_wfrm_wfrm_19': array([0, 0]), 'fccd_mcs_wfrm_wfrm_2': array([26, 27]), 'fccd_mcs_wfrm_wfrm_20': array([0, 0]), 'fccd_mcs_wfrm_wfrm_21': array([0, 0]), 'fccd_mcs_wfrm_wfrm_22': array([0, 0]), 'fccd_mcs_wfrm_wfrm_23': array([0, 0]), 'fccd_mcs_wfrm_wfrm_24': array([0, 0]), 'fccd_mcs_wfrm_wfrm_25': array([0, 0]), 'fccd_mcs_wfrm_wfrm_26': array([0, 0]), 'fccd_mcs_wfrm_wfrm_27': array([0, 0]), 'fccd_mcs_wfrm_wfrm_28': array([0, 0]), 'fccd_mcs_wfrm_wfrm_29': array([0, 0]), 'fccd_mcs_wfrm_wfrm_3': array([53781, 53796]), 'fccd_mcs_wfrm_wfrm_30': array([0, 0]), 'fccd_mcs_wfrm_wfrm_31': array([0, 0]), 'fccd_mcs_wfrm_wfrm_32': array([0, 0]), 'fccd_mcs_wfrm_wfrm_4': array([30329, 30344]), 'fccd_mcs_wfrm_wfrm_5': array([108, 108]), 'fccd_mcs_wfrm_wfrm_6': array([0, 0]), 'fccd_mcs_wfrm_wfrm_7': array([0, 0]), 'fccd_mcs_wfrm_wfrm_8': array([0, 0]), 'fccd_mcs_wfrm_wfrm_9': array([0, 0]), 'fccd_stats1_total': 9439607.0, 'fccd_stats2_total': 26481811.0, 'fccd_stats3_total': 9322140.0, 'fccd_stats4_total': 57731528.0, 'fccd_stats5_total': 148655648.0, 'tardis_theta': 120.20001440650677, 'tardis_theta_user_setpoint': 120.20000847430516, 'xsp3_accumulated_roi_01': 82579.54804436225, 'xsp3_accumulated_roi_02': 6366.505898474958, 'xsp3_accumulated_roi_03': 62220.93029769991, 'xsp3_accumulated_roi_04': 75031.96222729834, 'xsp3_accumulated_roi_05': 0.0, 'xsp3_accumulated_roi_06': 0.0, 'xsp3_accumulated_roi_07': 0.0, 'xsp3_accumulated_roi_08': 0.0, 'xsp3_accumulated_roi_09': 0.0, 'xsp3_accumulated_roi_10': 0.0, 'xsp3_accumulated_roi_11': 0.0, 'xsp3_accumulated_roi_12': 0.0, 'xsp3_accumulated_roi_13': 0.0, 'xsp3_accumulated_roi_14': 0.0, 'xsp3_accumulated_roi_15': 0.0, 'xsp3_accumulated_roi_16': 0.0, 'xsp3_channel1_rois_num_rois': 16, 'xsp3_roi_01': 279.0082377182187, 'xsp3_roi_02': 0.0, 'xsp3_roi_03': 279.0082377182187, 'xsp3_roi_04': 0.0, 'xsp3_roi_05': 0.0, 'xsp3_roi_06': 0.0, 'xsp3_roi_07': 0.0, 'xsp3_roi_08': 0.0, 'xsp3_roi_09': 0.0, 'xsp3_roi_10': 0.0, 'xsp3_roi_11': 0.0, 'xsp3_roi_12': 0.0, 'xsp3_roi_13': 0.0, 'xsp3_roi_14': 0.0, 'xsp3_roi_15': 0.0, 'xsp3_roi_16': 0.0, 'xsp3_settings_ch1': '29098b2c-c26c-4811-9d75-3451e7d9a8c8'}, 'descriptor': '7846e9cb-1d76-475d-9136-50c95132a821', 'filled': {'fccd_image': False, 'xsp3_settings_ch1': False}, 'seq_num': 3, 'time': 1522282854.4245248, 'timestamps': {'fccd_image': 1522282852.1572196, 'fccd_mcs_wfrm_wfrm_1': 1522282854.36274, 'fccd_mcs_wfrm_wfrm_10': 1522282854.36944, 'fccd_mcs_wfrm_wfrm_11': 1522282854.370009, 'fccd_mcs_wfrm_wfrm_12': 1522282854.370727, 'fccd_mcs_wfrm_wfrm_13': 1522282854.371414, 'fccd_mcs_wfrm_wfrm_14': 1522282854.372146, 'fccd_mcs_wfrm_wfrm_15': 1522282854.373003, 'fccd_mcs_wfrm_wfrm_16': 1522282854.373849, 'fccd_mcs_wfrm_wfrm_17': 1522282854.374568, 'fccd_mcs_wfrm_wfrm_18': 1522282854.375208, 'fccd_mcs_wfrm_wfrm_19': 1522282854.375927, 'fccd_mcs_wfrm_wfrm_2': 1522282854.363454, 'fccd_mcs_wfrm_wfrm_20': 1522282854.376669, 'fccd_mcs_wfrm_wfrm_21': 1522282854.377392, 'fccd_mcs_wfrm_wfrm_22': 1522282854.37812, 'fccd_mcs_wfrm_wfrm_23': 1522282854.378794, 'fccd_mcs_wfrm_wfrm_24': 1522282854.379539, 'fccd_mcs_wfrm_wfrm_25': 1522282854.380223, 'fccd_mcs_wfrm_wfrm_26': 1522282854.380892, 'fccd_mcs_wfrm_wfrm_27': 1522282854.381606, 'fccd_mcs_wfrm_wfrm_28': 1522282854.382263, 'fccd_mcs_wfrm_wfrm_29': 1522282854.382906, 'fccd_mcs_wfrm_wfrm_3': 1522282854.364815, 'fccd_mcs_wfrm_wfrm_30': 1522282854.383606, 'fccd_mcs_wfrm_wfrm_31': 1522282854.384362, 'fccd_mcs_wfrm_wfrm_32': 1522282854.385029, 'fccd_mcs_wfrm_wfrm_4': 1522282854.365557, 'fccd_mcs_wfrm_wfrm_5': 1522282854.366358, 'fccd_mcs_wfrm_wfrm_6': 1522282854.366955, 'fccd_mcs_wfrm_wfrm_7': 1522282854.367555, 'fccd_mcs_wfrm_wfrm_8': 1522282854.368184, 'fccd_mcs_wfrm_wfrm_9': 1522282854.368848, 'fccd_stats1_total': 1522282852.981335, 'fccd_stats2_total': 1522282852.983098, 'fccd_stats3_total': 1522282852.983904, 'fccd_stats4_total': 1522282852.988343, 'fccd_stats5_total': 1522282853.000384, 'tardis_theta': 1522282854.264142, 'tardis_theta_user_setpoint': 1522282854.264142, 'xsp3_accumulated_roi_01': 1522282854.316805, 'xsp3_accumulated_roi_02': 1522282853.31657, 'xsp3_accumulated_roi_03': 1522282854.317252, 'xsp3_accumulated_roi_04': 1522282853.317012, 'xsp3_accumulated_roi_05': 1521751499.176999, 'xsp3_accumulated_roi_06': 1521751499.17724, 'xsp3_accumulated_roi_07': 1521751499.177357, 'xsp3_accumulated_roi_08': 1521751499.177421, 'xsp3_accumulated_roi_09': 1521751499.177556, 'xsp3_accumulated_roi_10': 1521751499.177683, 'xsp3_accumulated_roi_11': 1521751499.177796, 'xsp3_accumulated_roi_12': 1521751499.177929, 'xsp3_accumulated_roi_13': 1521751499.17802, 'xsp3_accumulated_roi_14': 1521751499.178122, 'xsp3_accumulated_roi_15': 1521751499.178194, 'xsp3_accumulated_roi_16': 1521751499.178266, 'xsp3_channel1_rois_num_rois': 1522282567.3710074, 'xsp3_roi_01': 1522282854.316791, 'xsp3_roi_02': 1522282854.317215, 'xsp3_roi_03': 1522282854.317248, 'xsp3_roi_04': 1522282854.317531, 'xsp3_roi_05': 1521751499.176997, 'xsp3_roi_06': 1521751499.177237, 'xsp3_roi_07': 1521751499.177345, 'xsp3_roi_08': 1521751499.177419, 'xsp3_roi_09': 1521751499.177548, 'xsp3_roi_10': 1521751499.177681, 'xsp3_roi_11': 1521751499.177791, 'xsp3_roi_12': 1521751499.177927, 'xsp3_roi_13': 1521751499.178018, 'xsp3_roi_14': 1521751499.178119, 'xsp3_roi_15': 1521751499.178191, 'xsp3_roi_16': 1521751499.178264, 'xsp3_settings_ch1': 1522282854.3558638}, 'uid': '3b6d652b-8646-4125-a5a3-c8e979a48c2c'}) In [9]: next(stream) Out[9]: ('descriptor', {'configuration': {'cryoangle': {'data': {}, 'data_keys': {}, 'timestamps': {}}, 'epu1': {'data': {}, 'data_keys': {}, 'timestamps': {}}, 'epu2': {'data': {}, 'data_keys': {}, 'timestamps': {}}, 'm1a': {'data': {}, 'data_keys': {}, 'timestamps': {}}, 'm3a': {'data': {}, 'data_keys': {}, 'timestamps': {}}, 'nanop': {'data': {'nanop_bx_acceleration': 0.2, 'nanop_bx_cnen': 0, 'nanop_bx_dly': 0.2, 'nanop_bx_icof': 0.01, 'nanop_bx_motor_egu': 'mm', 'nanop_bx_pcof': 0.1, 'nanop_bx_rdbd': 1e-05, 'nanop_bx_rmod': 0, 'nanop_bx_user_offset': 0.015905, 'nanop_bx_user_offset_dir': 0, 'nanop_bx_velocity': 0.1, 'nanop_by_acceleration': 0.2, 'nanop_by_cnen': 0, 'nanop_by_dly': 0.2, 'nanop_by_icof': 0.01, 'nanop_by_motor_egu': 'mm', 'nanop_by_pcof': 0.1, 'nanop_by_rdbd': 1e-05, 'nanop_by_rmod': 0, 'nanop_by_user_offset': 0.0, 'nanop_by_user_offset_dir': 0, 'nanop_by_velocity': 0.1, 'nanop_bz_velocity': 0.1, 'nanop_tx_acceleration': 0.2, 'nanop_tx_cnen': 0, 'nanop_tx_dly': 0.2, 'nanop_tx_icof': 0.01, 'nanop_tx_motor_egu': 'mm', 'nanop_tx_pcof': 0.1, 'nanop_tx_rdbd': 1e-05, 'nanop_tx_rmod': 0, 'nanop_tx_user_offset': 0.097822, 'nanop_tx_user_offset_dir': 1, 'nanop_tx_velocity': 0.1, 'nanop_ty_acceleration': 0.2, 'nanop_ty_cnen': 0, 'nanop_ty_dly': 0.2, 'nanop_ty_icof': 0.01, 'nanop_ty_motor_egu': 'mm', 'nanop_ty_pcof': 0.1, 'nanop_ty_rdbd': 1e-05, 'nanop_ty_rmod': 0, 'nanop_ty_user_offset': 3.5527136788005e-15, 'nanop_ty_user_offset_dir': 0, 'nanop_ty_velocity': 0.1, 'nanop_tz_acceleration': 0.2, 'nanop_tz_cnen': 0, 'nanop_tz_dly': 0.2, 'nanop_tz_icof': 0.01, 'nanop_tz_motor_egu': 'mm', 'nanop_tz_pcof': 0.1, 'nanop_tz_rdbd': 1e-05, 'nanop_tz_rmod': 0, 'nanop_tz_user_offset': 2.585657, 'nanop_tz_user_offset_dir': 0, 'nanop_tz_velocity': 0.1}, 'data_keys': {'nanop_bx_acceleration': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}Mtr.ACCL', 'units': 'sec', 'upper_ctrl_limit': 1e+300}, 'nanop_bx_cnen': {'dtype': 'integer', 'enum_strs': ['Disable', 'Enable'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}Mtr.CNEN', 'units': None, 'upper_ctrl_limit': None}, 'nanop_bx_dly': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}Mtr.DLY', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_bx_icof': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}Mtr.ICOF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_bx_motor_egu': {'dtype': 'string', 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}Mtr.EGU', 'units': None, 'upper_ctrl_limit': None}, 'nanop_bx_pcof': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}Mtr.PCOF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_bx_rdbd': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}Mtr.RDBD', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_bx_rmod': {'dtype': 'integer', 'enum_strs': ['Default', 'Arithmetic', 'Geometric', 'In-Position'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}Mtr.RMOD', 'units': None, 'upper_ctrl_limit': None}, 'nanop_bx_user_offset': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}Mtr.OFF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_bx_user_offset_dir': {'dtype': 'integer', 'enum_strs': ['Pos', 'Neg'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}Mtr.DIR', 'units': None, 'upper_ctrl_limit': None}, 'nanop_bx_velocity': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}Mtr.VELO', 'units': 'mm/sec', 'upper_ctrl_limit': 0.0}, 'nanop_by_acceleration': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}Mtr.ACCL', 'units': 'sec', 'upper_ctrl_limit': 1e+300}, 'nanop_by_cnen': {'dtype': 'integer', 'enum_strs': ['Disable', 'Enable'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}Mtr.CNEN', 'units': None, 'upper_ctrl_limit': None}, 'nanop_by_dly': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}Mtr.DLY', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_by_icof': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}Mtr.ICOF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_by_motor_egu': {'dtype': 'string', 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}Mtr.EGU', 'units': None, 'upper_ctrl_limit': None}, 'nanop_by_pcof': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}Mtr.PCOF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_by_rdbd': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}Mtr.RDBD', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_by_rmod': {'dtype': 'integer', 'enum_strs': ['Default', 'Arithmetic', 'Geometric', 'In-Position'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}Mtr.RMOD', 'units': None, 'upper_ctrl_limit': None}, 'nanop_by_user_offset': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}Mtr.OFF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_by_user_offset_dir': {'dtype': 'integer', 'enum_strs': ['Pos', 'Neg'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}Mtr.DIR', 'units': None, 'upper_ctrl_limit': None}, 'nanop_by_velocity': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}Mtr.VELO', 'units': 'mm/sec', 'upper_ctrl_limit': 0.0}, 'nanop_bz_velocity': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmZ}OLFQUnits', 'units': 'mm/s', 'upper_ctrl_limit': 0.0}, 'nanop_tx_acceleration': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}Mtr.ACCL', 'units': 'sec', 'upper_ctrl_limit': 1e+300}, 'nanop_tx_cnen': {'dtype': 'integer', 'enum_strs': ['Disable', 'Enable'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}Mtr.CNEN', 'units': None, 'upper_ctrl_limit': None}, 'nanop_tx_dly': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}Mtr.DLY', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_tx_icof': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}Mtr.ICOF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_tx_motor_egu': {'dtype': 'string', 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}Mtr.EGU', 'units': None, 'upper_ctrl_limit': None}, 'nanop_tx_pcof': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}Mtr.PCOF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_tx_rdbd': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}Mtr.RDBD', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_tx_rmod': {'dtype': 'integer', 'enum_strs': ['Default', 'Arithmetic', 'Geometric', 'In-Position'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}Mtr.RMOD', 'units': None, 'upper_ctrl_limit': None}, 'nanop_tx_user_offset': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}Mtr.OFF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_tx_user_offset_dir': {'dtype': 'integer', 'enum_strs': ['Pos', 'Neg'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}Mtr.DIR', 'units': None, 'upper_ctrl_limit': None}, 'nanop_tx_velocity': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}Mtr.VELO', 'units': 'mm/sec', 'upper_ctrl_limit': 0.0}, 'nanop_ty_acceleration': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}Mtr.ACCL', 'units': 'sec', 'upper_ctrl_limit': 1e+300}, 'nanop_ty_cnen': {'dtype': 'integer', 'enum_strs': ['Disable', 'Enable'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}Mtr.CNEN', 'units': None, 'upper_ctrl_limit': None}, 'nanop_ty_dly': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}Mtr.DLY', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_ty_icof': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}Mtr.ICOF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_ty_motor_egu': {'dtype': 'string', 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}Mtr.EGU', 'units': None, 'upper_ctrl_limit': None}, 'nanop_ty_pcof': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}Mtr.PCOF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_ty_rdbd': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}Mtr.RDBD', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_ty_rmod': {'dtype': 'integer', 'enum_strs': ['Default', 'Arithmetic', 'Geometric', 'In-Position'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}Mtr.RMOD', 'units': None, 'upper_ctrl_limit': None}, 'nanop_ty_user_offset': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}Mtr.OFF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_ty_user_offset_dir': {'dtype': 'integer', 'enum_strs': ['Pos', 'Neg'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}Mtr.DIR', 'units': None, 'upper_ctrl_limit': None}, 'nanop_ty_velocity': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}Mtr.VELO', 'units': 'mm/sec', 'upper_ctrl_limit': 0.0}, 'nanop_tz_acceleration': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}Mtr.ACCL', 'units': 'sec', 'upper_ctrl_limit': 1e+300}, 'nanop_tz_cnen': {'dtype': 'integer', 'enum_strs': ['Disable', 'Enable'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}Mtr.CNEN', 'units': None, 'upper_ctrl_limit': None}, 'nanop_tz_dly': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}Mtr.DLY', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_tz_icof': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}Mtr.ICOF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_tz_motor_egu': {'dtype': 'string', 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}Mtr.EGU', 'units': None, 'upper_ctrl_limit': None}, 'nanop_tz_pcof': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}Mtr.PCOF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_tz_rdbd': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}Mtr.RDBD', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_tz_rmod': {'dtype': 'integer', 'enum_strs': ['Default', 'Arithmetic', 'Geometric', 'In-Position'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}Mtr.RMOD', 'units': None, 'upper_ctrl_limit': None}, 'nanop_tz_user_offset': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}Mtr.OFF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'nanop_tz_user_offset_dir': {'dtype': 'integer', 'enum_strs': ['Pos', 'Neg'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}Mtr.DIR', 'units': None, 'upper_ctrl_limit': None}, 'nanop_tz_velocity': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}Mtr.VELO', 'units': 'mm/sec', 'upper_ctrl_limit': 0.0}}, 'timestamps': {'nanop_bx_acceleration': 1522282831.155271, 'nanop_bx_cnen': 1522282831.155271, 'nanop_bx_dly': 1522282831.155271, 'nanop_bx_icof': 1522282831.155271, 'nanop_bx_motor_egu': 1522282831.155271, 'nanop_bx_pcof': 1522282831.155271, 'nanop_bx_rdbd': 1522282831.155271, 'nanop_bx_rmod': 1522282831.155271, 'nanop_bx_user_offset': 1522282831.155271, 'nanop_bx_user_offset_dir': 1522282831.155271, 'nanop_bx_velocity': 1522282831.155271, 'nanop_by_acceleration': 1522282832.771522, 'nanop_by_cnen': 1522282832.771522, 'nanop_by_dly': 1522282832.771522, 'nanop_by_icof': 1522282832.771522, 'nanop_by_motor_egu': 1522282832.771522, 'nanop_by_pcof': 1522282832.771522, 'nanop_by_rdbd': 1522282832.771522, 'nanop_by_rmod': 1522282832.771522, 'nanop_by_user_offset': 1522282832.771522, 'nanop_by_user_offset_dir': 1522282832.771522, 'nanop_by_velocity': 1522282832.771522, 'nanop_bz_velocity': 1522282567.195769, 'nanop_tx_acceleration': 1522282830.879121, 'nanop_tx_cnen': 1522282830.879121, 'nanop_tx_dly': 1522282830.879121, 'nanop_tx_icof': 1522282830.879121, 'nanop_tx_motor_egu': 1522282830.879121, 'nanop_tx_pcof': 1522282830.879121, 'nanop_tx_rdbd': 1522282830.879121, 'nanop_tx_rmod': 1522282830.879121, 'nanop_tx_user_offset': 1522282830.879121, 'nanop_tx_user_offset_dir': 1522282830.879121, 'nanop_tx_velocity': 1522282830.879121, 'nanop_ty_acceleration': 1522282823.610772, 'nanop_ty_cnen': 1522282823.610772, 'nanop_ty_dly': 1522282823.610772, 'nanop_ty_icof': 1522282823.610772, 'nanop_ty_motor_egu': 1522282823.610772, 'nanop_ty_pcof': 1522282823.610772, 'nanop_ty_rdbd': 1522282823.610772, 'nanop_ty_rmod': 1522282823.610772, 'nanop_ty_user_offset': 1522282823.610772, 'nanop_ty_user_offset_dir': 1522282823.610772, 'nanop_ty_velocity': 1522282823.610772, 'nanop_tz_acceleration': 1522282826.496856, 'nanop_tz_cnen': 1522282826.496856, 'nanop_tz_dly': 1522282826.496856, 'nanop_tz_icof': 1522282826.496856, 'nanop_tz_motor_egu': 1522282826.496856, 'nanop_tz_pcof': 1522282826.496856, 'nanop_tz_rdbd': 1522282826.496856, 'nanop_tz_rmod': 1522282826.496856, 'nanop_tz_user_offset': 1522282826.496856, 'nanop_tz_user_offset_dir': 1522282826.496856, 'nanop_tz_velocity': 1522282826.496856}}, 'pgm': {'data': {}, 'data_keys': {}, 'timestamps': {}}, 'say': {'data': {'say_acceleration': 0.2, 'say_motor_egu': 'mm', 'say_user_offset': 0.0, 'say_user_offset_dir': 0, 'say_velocity': 0.5}, 'data_keys': {'say_acceleration': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Y}Mtr.ACCL', 'units': 'sec', 'upper_ctrl_limit': 1e+300}, 'say_motor_egu': {'dtype': 'string', 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Y}Mtr.EGU', 'units': None, 'upper_ctrl_limit': None}, 'say_user_offset': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Y}Mtr.OFF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'say_user_offset_dir': {'dtype': 'integer', 'enum_strs': ['Pos', 'Neg'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Y}Mtr.DIR', 'units': None, 'upper_ctrl_limit': None}, 'say_velocity': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Y}Mtr.VELO', 'units': 'mm/sec', 'upper_ctrl_limit': 0.5}}, 'timestamps': {'say_acceleration': 1522261862.258428, 'say_motor_egu': 1522261862.258428, 'say_user_offset': 1522261862.258428, 'say_user_offset_dir': 1522261862.258428, 'say_velocity': 1522261862.258428}}, 'saz': {'data': {'saz_acceleration': 0.2, 'saz_motor_egu': 'mm', 'saz_user_offset': 0.0, 'saz_user_offset_dir': 0, 'saz_velocity': 0.5}, 'data_keys': {'saz_acceleration': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Z}Mtr.ACCL', 'units': 'sec', 'upper_ctrl_limit': 1e+300}, 'saz_motor_egu': {'dtype': 'string', 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Z}Mtr.EGU', 'units': None, 'upper_ctrl_limit': None}, 'saz_user_offset': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Z}Mtr.OFF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'saz_user_offset_dir': {'dtype': 'integer', 'enum_strs': ['Pos', 'Neg'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Z}Mtr.DIR', 'units': None, 'upper_ctrl_limit': None}, 'saz_velocity': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Z}Mtr.VELO', 'units': 'mm/sec', 'upper_ctrl_limit': 0.5}}, 'timestamps': {'saz_acceleration': 1522262619.564815, 'saz_motor_egu': 1522262619.564815, 'saz_user_offset': 1522262619.564815, 'saz_user_offset_dir': 1522262619.564815, 'saz_velocity': 1522262619.564815}}, 'slt1': {'data': {}, 'data_keys': {}, 'timestamps': {}}, 'slt2': {'data': {}, 'data_keys': {}, 'timestamps': {}}, 'slt3': {'data': {}, 'data_keys': {}, 'timestamps': {}}, 'smtr': {'data': {'smtr_velocity': 0.1}, 'data_keys': {'smtr_velocity': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{K2611:1}Speed-I', 'units': 'Aps', 'upper_ctrl_limit': 0.0}}, 'timestamps': {'smtr_velocity': 1522087917.095063}}, 'stemp': {'data': {}, 'data_keys': {}, 'timestamps': {}}, 'sx': {'data': {'sx_acceleration': 0.2, 'sx_motor_egu': 'mm', 'sx_user_offset': 9.75, 'sx_user_offset_dir': 0, 'sx_velocity': 0.008}, 'data_keys': {'sx_acceleration': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:X}Mtr.ACCL', 'units': 'sec', 'upper_ctrl_limit': 1e+300}, 'sx_motor_egu': {'dtype': 'string', 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:X}Mtr.EGU', 'units': None, 'upper_ctrl_limit': None}, 'sx_user_offset': {'dtype': 'number', 'lower_ctrl_limit': -1e+300, 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:X}Mtr.OFF', 'units': 'mm', 'upper_ctrl_limit': 1e+300}, 'sx_user_offset_dir': {'dtype': 'integer', 'enum_strs': ['Pos', 'Neg'], 'lower_ctrl_limit': None, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:X}Mtr.DIR', 'units': None, 'upper_ctrl_limit': None}, 'sx_velocity': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:X}Mtr.VELO', 'units': 'mm/sec', 'upper_ctrl_limit': 0.01}}, 'timestamps': {'sx_acceleration': 1522262181.67939, 'sx_motor_egu': 1522262181.67939, 'sx_user_offset': 1522262181.67939, 'sx_user_offset_dir': 1522262181.67939, 'sx_velocity': 1522262181.67939}}, 'sy': {'data': {}, 'data_keys': {}, 'timestamps': {}}, 'sz': {'data': {}, 'data_keys': {}, 'timestamps': {}}, 'tardis': {'data': {'tardis_UB': [[-0.011607184175329407, 1.6357558832868515, 0.011590788668327972], [0.0024033945922878634, -0.038317997052765014, 0.4946027872838214], [1.6362032386180125, 0.011660295383724612, -0.0006442899149669738]], 'tardis_energy': 8.0}, 'data_keys': {'tardis_UB': {'dtype': 'array', 'shape': [3, 3], 'source': 'PY:tardis.calc.sample.UB'}, 'tardis_energy': {'dtype': 'number', 'shape': [], 'source': 'SIM:tardis_energy'}}, 'timestamps': {'tardis_UB': 1522282563.7680454, 'tardis_energy': 1522282563.767765}}}, 'data_keys': {'cryoangle_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'cryoangle', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Cryo}Pos:Angle-RB', 'units': 'deg', 'upper_ctrl_limit': 0.0}, 'cryoangle_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'cryoangle', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Cryo}Pos:Angle-SP', 'units': 'deg', 'upper_ctrl_limit': 0.0}, 'epu1_gap_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'epu1', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID-ID{EPU:1-Ax:Gap}Pos-I', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'epu1_gap_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 12.0, 'object_name': 'epu1', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID-ID{EPU:1-Ax:Gap}Pos-SP', 'units': 'mm', 'upper_ctrl_limit': 239.0}, 'epu1_gap_stop_signal': {'dtype': 'integer', 'lower_ctrl_limit': -32768, 'object_name': 'epu1', 'shape': [], 'source': 'PV:SR:C23-ID:G1A{EPU:1-Ax:Gap}-Mtr.STOP', 'units': 'um', 'upper_ctrl_limit': 32767}, 'epu1_phase_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'epu1', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID-ID{EPU:1-Ax:Phase}Pos-I', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'epu1_phase_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -24.6, 'object_name': 'epu1', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID-ID{EPU:1-Ax:Phase}Pos-SP', 'units': 'mm', 'upper_ctrl_limit': 24.6}, 'epu1_phase_stop_signal': {'dtype': 'integer', 'lower_ctrl_limit': -32768, 'object_name': 'epu1', 'shape': [], 'source': 'PV:SR:C23-ID:G1A{EPU:1-Ax:Phase}-Mtr.STOP', 'units': 'um', 'upper_ctrl_limit': 32767}, 'epu1_x_ang': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'epu1', 'precision': 3, 'shape': [], 'source': 'PV:SR:C31-{AI}23:FPGA:x_mrad-I', 'units': 'mrad', 'upper_ctrl_limit': 0.0}, 'epu1_x_off': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'epu1', 'precision': 3, 'shape': [], 'source': 'PV:SR:C31-{AI}23:FPGA:x_mm-I', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'epu1_y_ang': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'epu1', 'precision': 3, 'shape': [], 'source': 'PV:SR:C31-{AI}23:FPGA:y_mrad-I', 'units': 'mrad', 'upper_ctrl_limit': 0.0}, 'epu1_y_off': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'epu1', 'precision': 3, 'shape': [], 'source': 'PV:SR:C31-{AI}23:FPGA:y_mm-I', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'epu2_gap_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'epu2', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID-ID{EPU:2-Ax:Gap}Pos-I', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'epu2_gap_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 12.0, 'object_name': 'epu2', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID-ID{EPU:2-Ax:Gap}Pos-SP', 'units': 'mm', 'upper_ctrl_limit': 239.0}, 'epu2_gap_stop_signal': {'dtype': 'integer', 'lower_ctrl_limit': -32768, 'object_name': 'epu2', 'shape': [], 'source': 'PV:SR:C23-ID:G1A{EPU:2-Ax:Gap}-Mtr.STOP', 'units': 'um', 'upper_ctrl_limit': 32767}, 'epu2_phase_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'epu2', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID-ID{EPU:2-Ax:Phase}Pos-I', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'epu2_phase_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -24.6, 'object_name': 'epu2', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID-ID{EPU:2-Ax:Phase}Pos-SP', 'units': 'mm', 'upper_ctrl_limit': 24.6}, 'epu2_phase_stop_signal': {'dtype': 'integer', 'lower_ctrl_limit': -32768, 'object_name': 'epu2', 'shape': [], 'source': 'PV:SR:C23-ID:G1A{EPU:2-Ax:Phase}-Mtr.STOP', 'units': 'um', 'upper_ctrl_limit': 32767}, 'epu2_x_ang': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'epu2', 'precision': 3, 'shape': [], 'source': 'PV:SR:C31-{AI}23-2:FPGA:x_mrad-I', 'units': 'mrad', 'upper_ctrl_limit': 0.0}, 'epu2_x_off': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'epu2', 'precision': 3, 'shape': [], 'source': 'PV:SR:C31-{AI}23-2:FPGA:x_mm-I', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'epu2_y_ang': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'epu2', 'precision': 3, 'shape': [], 'source': 'PV:SR:C31-{AI}23-2:FPGA:y_mrad-I', 'units': 'mrad', 'upper_ctrl_limit': 0.0}, 'epu2_y_off': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'epu2', 'precision': 3, 'shape': [], 'source': 'PV:SR:C31-{AI}23-2:FPGA:y_mm-I', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'm1a_pit_actuate': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}MOVE_CMD.PROC', 'units': '', 'upper_ctrl_limit': 0}, 'm1a_pit_done': {'dtype': 'integer', 'enum_strs': ['Done', 'Busy'], 'lower_ctrl_limit': None, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}BUSY_STS', 'units': None, 'upper_ctrl_limit': None}, 'm1a_pit_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'm1a', 'precision': 3, 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1-Ax:Pit}Mtr_MON', 'units': 'mrad', 'upper_ctrl_limit': 0.0}, 'm1a_pit_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -20.0, 'object_name': 'm1a', 'precision': 3, 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1-Ax:Pit}Mtr_POS_SP', 'units': 'mrad', 'upper_ctrl_limit': 20.0}, 'm1a_pit_stop_signal': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}STOP_CMD.PROC', 'units': '', 'upper_ctrl_limit': 0}, 'm1a_rol_actuate': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}MOVE_CMD.PROC', 'units': '', 'upper_ctrl_limit': 0}, 'm1a_rol_done': {'dtype': 'integer', 'enum_strs': ['Done', 'Busy'], 'lower_ctrl_limit': None, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}BUSY_STS', 'units': None, 'upper_ctrl_limit': None}, 'm1a_rol_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'm1a', 'precision': 3, 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1-Ax:Rol}Mtr_MON', 'units': 'mrad', 'upper_ctrl_limit': 0.0}, 'm1a_rol_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -20.0, 'object_name': 'm1a', 'precision': 3, 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1-Ax:Rol}Mtr_POS_SP', 'units': 'mrad', 'upper_ctrl_limit': 20.0}, 'm1a_rol_stop_signal': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}STOP_CMD.PROC', 'units': '', 'upper_ctrl_limit': 0}, 'm1a_x_actuate': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}MOVE_CMD.PROC', 'units': '', 'upper_ctrl_limit': 0}, 'm1a_x_done': {'dtype': 'integer', 'enum_strs': ['Done', 'Busy'], 'lower_ctrl_limit': None, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}BUSY_STS', 'units': None, 'upper_ctrl_limit': None}, 'm1a_x_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'm1a', 'precision': 3, 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1-Ax:X}Mtr_MON', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'm1a_x_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -15.0, 'object_name': 'm1a', 'precision': 3, 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1-Ax:X}Mtr_POS_SP', 'units': 'mm', 'upper_ctrl_limit': 15.0}, 'm1a_x_stop_signal': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}STOP_CMD.PROC', 'units': '', 'upper_ctrl_limit': 0}, 'm1a_y_actuate': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}MOVE_CMD.PROC', 'units': '', 'upper_ctrl_limit': 0}, 'm1a_y_done': {'dtype': 'integer', 'enum_strs': ['Done', 'Busy'], 'lower_ctrl_limit': None, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}BUSY_STS', 'units': None, 'upper_ctrl_limit': None}, 'm1a_y_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'm1a', 'precision': 3, 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1-Ax:Y}Mtr_MON', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'm1a_y_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -10.0, 'object_name': 'm1a', 'precision': 3, 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1-Ax:Y}Mtr_POS_SP', 'units': 'mm', 'upper_ctrl_limit': 10.0}, 'm1a_y_stop_signal': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}STOP_CMD.PROC', 'units': '', 'upper_ctrl_limit': 0}, 'm1a_yaw_actuate': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}MOVE_CMD.PROC', 'units': '', 'upper_ctrl_limit': 0}, 'm1a_yaw_done': {'dtype': 'integer', 'enum_strs': ['Done', 'Busy'], 'lower_ctrl_limit': None, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}BUSY_STS', 'units': None, 'upper_ctrl_limit': None}, 'm1a_yaw_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'm1a', 'precision': 3, 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1-Ax:Yaw}Mtr_MON', 'units': 'mrad', 'upper_ctrl_limit': 0.0}, 'm1a_yaw_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -20.0, 'object_name': 'm1a', 'precision': 3, 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1-Ax:Yaw}Mtr_POS_SP', 'units': 'mrad', 'upper_ctrl_limit': 20.0}, 'm1a_yaw_stop_signal': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}STOP_CMD.PROC', 'units': '', 'upper_ctrl_limit': 0}, 'm1a_z_actuate': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}MOVE_CMD.PROC', 'units': '', 'upper_ctrl_limit': 0}, 'm1a_z_done': {'dtype': 'integer', 'enum_strs': ['Done', 'Busy'], 'lower_ctrl_limit': None, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}BUSY_STS', 'units': None, 'upper_ctrl_limit': None}, 'm1a_z_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'm1a', 'precision': 3, 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1-Ax:Z}Mtr_MON', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'm1a_z_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -30.0, 'object_name': 'm1a', 'precision': 3, 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1-Ax:Z}Mtr_POS_SP', 'units': 'mm', 'upper_ctrl_limit': 30.0}, 'm1a_z_stop_signal': {'dtype': 'integer', 'lower_ctrl_limit': 0, 'object_name': 'm1a', 'shape': [], 'source': 'PV:XF:23IDA-OP:1{Mir:1}STOP_CMD.PROC', 'units': '', 'upper_ctrl_limit': 0}, 'm3a_bdr': {'dtype': 'number', 'lower_ctrl_limit': -9.99995, 'object_name': 'm3a', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mir:3-Ax:Bdr}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 1.00005}, 'm3a_bdr_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -9.99995, 'object_name': 'm3a', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mir:3-Ax:Bdr}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 1.00005}, 'm3a_pit': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'm3a', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mir:3-Ax:P}Mtr.RBV', 'units': 'mrad', 'upper_ctrl_limit': 0.0}, 'm3a_pit_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'm3a', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mir:3-Ax:P}Mtr.VAL', 'units': 'mrad', 'upper_ctrl_limit': 0.0}, 'm3a_x': {'dtype': 'number', 'lower_ctrl_limit': -10.0, 'object_name': 'm3a', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mir:3-Ax:XAvg}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 10.0}, 'm3a_x_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -10.0, 'object_name': 'm3a', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mir:3-Ax:XAvg}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 10.0}, 'nanop_bx': {'dtype': 'number', 'lower_ctrl_limit': -0.984095, 'object_name': 'nanop', 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 10.015905}, 'nanop_bx_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'nanop', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmX}MtrPA_sm', 'units': 'nm', 'upper_ctrl_limit': 0.0}, 'nanop_by': {'dtype': 'number', 'lower_ctrl_limit': -20.0, 'object_name': 'nanop', 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 0.5}, 'nanop_by_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'nanop', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmY}MtrPA_sm', 'units': 'nm', 'upper_ctrl_limit': 0.0}, 'nanop_bz': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'nanop', 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmZ}OLAbsLast', 'units': '', 'upper_ctrl_limit': 0.0}, 'nanop_bz_done_signal': {'dtype': 'integer', 'enum_strs': ['', ''], 'lower_ctrl_limit': None, 'object_name': 'nanop', 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmZ}OLDMOV', 'units': None, 'upper_ctrl_limit': None}, 'nanop_bz_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'nanop', 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:BtmZ}OLAbs', 'units': '', 'upper_ctrl_limit': 0.0}, 'nanop_tx': {'dtype': 'number', 'lower_ctrl_limit': -1.5808909999999998, 'object_name': 'nanop', 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 6.419109}, 'nanop_tx_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'nanop', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopX}MtrPA_sm', 'units': 'nm', 'upper_ctrl_limit': 0.0}, 'nanop_ty': {'dtype': 'number', 'lower_ctrl_limit': -19.999999999999996, 'object_name': 'nanop', 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': -6.9999999999999964}, 'nanop_ty_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'nanop', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopY}MtrPA_sm', 'units': 'nm', 'upper_ctrl_limit': 0.0}, 'nanop_tz': {'dtype': 'number', 'lower_ctrl_limit': -12.990405, 'object_name': 'nanop', 'precision': 7, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 12.585657}, 'nanop_tz_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'nanop', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif:Nano-Ax:TopZ}MtrPA_sm', 'units': 'nm', 'upper_ctrl_limit': 0.0}, 'pgm_energy_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'pgm', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mono}Enrgy-I', 'units': 'eV', 'upper_ctrl_limit': 0.0}, 'pgm_energy_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 200.0, 'object_name': 'pgm', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mono}Enrgy-SP', 'units': 'eV', 'upper_ctrl_limit': 2000.0}, 'pgm_energy_stop_signal': {'dtype': 'integer', 'enum_strs': ['OK'], 'lower_ctrl_limit': None, 'object_name': 'pgm', 'shape': [], 'source': 'PV:XF:23ID1-OP{Mono}Cmd:Stop-Cmd', 'units': None, 'upper_ctrl_limit': None}, 'pgm_grt1_temp': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'pgm', 'precision': 1, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mon-Grt:1}T-I', 'units': 'C', 'upper_ctrl_limit': 0.0}, 'pgm_grt2_temp': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'pgm', 'precision': 1, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mon-Grt:2}T-I', 'units': 'C', 'upper_ctrl_limit': 0.0}, 'pgm_grt3_temp': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'pgm', 'precision': 1, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mon-Grt:3}T-I', 'units': 'C', 'upper_ctrl_limit': 0.0}, 'pgm_grt4_temp': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'pgm', 'precision': 1, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mon-Grt:4}T-I', 'units': 'C', 'upper_ctrl_limit': 0.0}, 'pgm_grt_pit': {'dtype': 'number', 'lower_ctrl_limit': -20.32554, 'object_name': 'pgm', 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mono-Ax:GrtP}Mtr.RBV', 'units': 'deg', 'upper_ctrl_limit': 19.67446}, 'pgm_grt_pit_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -20.32554, 'object_name': 'pgm', 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mono-Ax:GrtP}Mtr.VAL', 'units': 'deg', 'upper_ctrl_limit': 19.67446}, 'pgm_grt_temp_in': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'pgm', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-OP{TCtrl:1-Chan:B}T-I', 'units': 'K', 'upper_ctrl_limit': 0.0}, 'pgm_grt_temp_out': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'pgm', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-OP{TCtrl:1-Chan:D}T-I', 'units': 'K', 'upper_ctrl_limit': 0.0}, 'pgm_grt_x': {'dtype': 'number', 'lower_ctrl_limit': -200.0, 'object_name': 'pgm', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mono-Ax:GrtX}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 200.0}, 'pgm_grt_x_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -200.0, 'object_name': 'pgm', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mono-Ax:GrtX}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 200.0}, 'pgm_mir_pit': {'dtype': 'number', 'lower_ctrl_limit': -20.50907, 'object_name': 'pgm', 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mono-Ax:MirP}Mtr.RBV', 'units': 'deg', 'upper_ctrl_limit': 19.49093}, 'pgm_mir_pit_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -20.50907, 'object_name': 'pgm', 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mono-Ax:MirP}Mtr.VAL', 'units': 'deg', 'upper_ctrl_limit': 19.49093}, 'pgm_mir_temp_in': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'pgm', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-OP{TCtrl:1-Chan:A}T-I', 'units': 'K', 'upper_ctrl_limit': 0.0}, 'pgm_mir_temp_out': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'pgm', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-OP{TCtrl:1-Chan:C}T-I', 'units': 'K', 'upper_ctrl_limit': 0.0}, 'pgm_mir_x': {'dtype': 'number', 'lower_ctrl_limit': -200.0, 'object_name': 'pgm', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mono-Ax:MirX}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 200.0}, 'pgm_mir_x_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -200.0, 'object_name': 'pgm', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-OP{Mono-Ax:MirX}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 200.0}, 'say': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'say', 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Y}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'say_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'say', 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Y}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'saz': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'saz', 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Z}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'saz_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'saz', 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Z}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'slt1_xc': {'dtype': 'number', 'lower_ctrl_limit': -10.0, 'object_name': 'slt1', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:1-Ax:XCtr}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 10.0}, 'slt1_xc_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -10.0, 'object_name': 'slt1', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:1-Ax:XCtr}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 10.0}, 'slt1_xg': {'dtype': 'number', 'lower_ctrl_limit': -50.0, 'object_name': 'slt1', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:1-Ax:XGap}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 40.0}, 'slt1_xg_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -50.0, 'object_name': 'slt1', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:1-Ax:XGap}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 40.0}, 'slt1_yc': {'dtype': 'number', 'lower_ctrl_limit': -100.0, 'object_name': 'slt1', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:1-Ax:YCtr}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 100.0}, 'slt1_yc_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -100.0, 'object_name': 'slt1', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:1-Ax:YCtr}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 100.0}, 'slt1_yg': {'dtype': 'number', 'lower_ctrl_limit': -40.0, 'object_name': 'slt1', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:1-Ax:YGap}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 60.0}, 'slt1_yg_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -40.0, 'object_name': 'slt1', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:1-Ax:YGap}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 60.0}, 'slt2_xc': {'dtype': 'number', 'lower_ctrl_limit': -0.069, 'object_name': 'slt2', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:2-Ax:XCtr}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': -0.069}, 'slt2_xc_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -0.069, 'object_name': 'slt2', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:2-Ax:XCtr}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': -0.069}, 'slt2_xg': {'dtype': 'number', 'lower_ctrl_limit': 14.2938, 'object_name': 'slt2', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:2-Ax:XGap}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 14.2938}, 'slt2_xg_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 14.2938, 'object_name': 'slt2', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:2-Ax:XGap}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 14.2938}, 'slt2_yc': {'dtype': 'number', 'lower_ctrl_limit': 0.1515, 'object_name': 'slt2', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:2-Ax:YCtr}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 0.1515}, 'slt2_yc_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.1515, 'object_name': 'slt2', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:2-Ax:YCtr}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 0.1515}, 'slt2_yg': {'dtype': 'number', 'lower_ctrl_limit': 14.6062, 'object_name': 'slt2', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:2-Ax:YGap}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 14.6062}, 'slt2_yg_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 14.6062, 'object_name': 'slt2', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:2-Ax:YGap}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 14.6062}, 'slt3_x': {'dtype': 'number', 'lower_ctrl_limit': -1000.0, 'object_name': 'slt3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:3-Ax:X}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 1000.0}, 'slt3_x_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -1000.0, 'object_name': 'slt3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:3-Ax:X}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 1000.0}, 'slt3_y': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'slt3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:3-Ax:Y}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'slt3_y_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'slt3', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-OP{Slt:3-Ax:Y}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'smtr': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'smtr', 'precision': 5, 'shape': [], 'source': 'PV:XF:23ID1-ES{K2611:1}Val:RB-I', 'units': 'Amps', 'upper_ctrl_limit': 0.0}, 'smtr_done_signal': {'dtype': 'integer', 'enum_strs': ['', ''], 'lower_ctrl_limit': None, 'object_name': 'smtr', 'shape': [], 'source': 'PV:XF:23ID1-ES{K2611:1}DMOV-I', 'units': None, 'upper_ctrl_limit': None}, 'smtr_user_readback_r': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'smtr', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{K2611:1}Val:RB-R', 'units': 'Ohms', 'upper_ctrl_limit': 0.0}, 'smtr_user_readback_v': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'smtr', 'precision': 5, 'shape': [], 'source': 'PV:XF:23ID1-ES{K2611:1}Val:RB-E', 'units': 'Volts', 'upper_ctrl_limit': 0.0}, 'smtr_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'smtr', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{K2611:1}Val:SP-I_u', 'units': 'Amps', 'upper_ctrl_limit': 0.0}, 'stemp_ctrl1_done': {'dtype': 'integer', 'enum_strs': ['IDLE', 'RAMPING'], 'lower_ctrl_limit': None, 'object_name': 'stemp', 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Out:1}Sts:Ramp-Sts', 'units': None, 'upper_ctrl_limit': None}, 'stemp_ctrl1_ramp_enabled': {'dtype': 'integer', 'enum_strs': ['OFF', 'ON'], 'lower_ctrl_limit': None, 'object_name': 'stemp', 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Out:1}Enbl:Ramp-Sel', 'units': None, 'upper_ctrl_limit': None}, 'stemp_ctrl1_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'stemp', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Out:1}T-RB', 'units': '', 'upper_ctrl_limit': 0.0}, 'stemp_ctrl1_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'stemp', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Out:1}T-SP', 'units': '', 'upper_ctrl_limit': 0.0}, 'stemp_ctrl2_done': {'dtype': 'integer', 'enum_strs': ['IDLE', 'RAMPING'], 'lower_ctrl_limit': None, 'object_name': 'stemp', 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Out:2}Sts:Ramp-Sts', 'units': None, 'upper_ctrl_limit': None}, 'stemp_ctrl2_ramp_enabled': {'dtype': 'integer', 'enum_strs': ['OFF', 'ON'], 'lower_ctrl_limit': None, 'object_name': 'stemp', 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Out:2}Enbl:Ramp-Sel', 'units': None, 'upper_ctrl_limit': None}, 'stemp_ctrl2_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'stemp', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Out:2}T-RB', 'units': '', 'upper_ctrl_limit': 0.0}, 'stemp_ctrl2_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'stemp', 'precision': 0, 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Out:2}T-SP', 'units': '', 'upper_ctrl_limit': 0.0}, 'stemp_temp_A_T': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'stemp', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Chan:A}T-I', 'units': 'K', 'upper_ctrl_limit': 0.0}, 'stemp_temp_A_V': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'stemp', 'precision': 5, 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Chan:A}Val:Sens-I', 'units': '', 'upper_ctrl_limit': 0.0}, 'stemp_temp_A_status': {'dtype': 'integer', 'enum_strs': ['OK', 'INVALID', 'UNDERRANGE', 'OVERRANGE', 'SENSOR ZERO', 'SENSOR OVERRANGE'], 'lower_ctrl_limit': None, 'object_name': 'stemp', 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Chan:A}T-Sts', 'units': None, 'upper_ctrl_limit': None}, 'stemp_temp_B_T': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'stemp', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Chan:B}T-I', 'units': 'K', 'upper_ctrl_limit': 0.0}, 'stemp_temp_B_V': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'stemp', 'precision': 5, 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Chan:B}Val:Sens-I', 'units': '', 'upper_ctrl_limit': 0.0}, 'stemp_temp_B_status': {'dtype': 'integer', 'enum_strs': ['OK', 'INVALID', 'UNDERRANGE', 'OVERRANGE', 'SENSOR ZERO', 'SENSOR OVERRANGE'], 'lower_ctrl_limit': None, 'object_name': 'stemp', 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Chan:B}T-Sts', 'units': None, 'upper_ctrl_limit': None}, 'stemp_temp_C_T': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'stemp', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Chan:C}T-I', 'units': 'K', 'upper_ctrl_limit': 0.0}, 'stemp_temp_C_V': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'stemp', 'precision': 5, 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Chan:C}Val:Sens-I', 'units': '', 'upper_ctrl_limit': 0.0}, 'stemp_temp_C_status': {'dtype': 'integer', 'enum_strs': ['OK', 'INVALID', 'UNDERRANGE', 'OVERRANGE', 'SENSOR ZERO', 'SENSOR OVERRANGE'], 'lower_ctrl_limit': None, 'object_name': 'stemp', 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Chan:C}T-Sts', 'units': None, 'upper_ctrl_limit': None}, 'stemp_temp_D_T': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'stemp', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Chan:D}T-I', 'units': 'K', 'upper_ctrl_limit': 0.0}, 'stemp_temp_D_V': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'stemp', 'precision': 5, 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Chan:D}Val:Sens-I', 'units': '', 'upper_ctrl_limit': 0.0}, 'stemp_temp_D_status': {'dtype': 'integer', 'enum_strs': ['OK', 'INVALID', 'UNDERRANGE', 'OVERRANGE', 'SENSOR ZERO', 'SENSOR OVERRANGE'], 'lower_ctrl_limit': None, 'object_name': 'stemp', 'shape': [], 'source': 'PV:XF:23ID1-ES{TCtrl:1-Chan:D}T-Sts', 'units': None, 'upper_ctrl_limit': None}, 'sx': {'dtype': 'number', 'lower_ctrl_limit': -0.5500000000000007, 'object_name': 'sx', 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:X}Mtr.RBV', 'units': 'mm', 'upper_ctrl_limit': 13.25}, 'sx_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -0.5500000000000007, 'object_name': 'sx', 'precision': 6, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:X}Mtr.VAL', 'units': 'mm', 'upper_ctrl_limit': 13.25}, 'sy_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'sy', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:SY}Pos-RB', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'sy_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'sy', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:SY}Pos-SP', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'sz_readback': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'sz', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:SZ}Pos-RB', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'sz_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0.0, 'object_name': 'sz', 'precision': 3, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:SZ}Pos-SP', 'units': 'mm', 'upper_ctrl_limit': 0.0}, 'tardis_chi': {'dtype': 'number', 'lower_ctrl_limit': 0, 'object_name': 'tardis', 'shape': [], 'source': 'computed', 'units': '', 'upper_ctrl_limit': 0}, 'tardis_delta': {'dtype': 'number', 'lower_ctrl_limit': -0.5, 'object_name': 'tardis', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Del}Mtr.RBV', 'units': 'deg', 'upper_ctrl_limit': 179.8}, 'tardis_delta_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -0.5, 'object_name': 'tardis', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Del}Mtr.VAL', 'units': 'deg', 'upper_ctrl_limit': 179.8}, 'tardis_gamma': {'dtype': 'number', 'lower_ctrl_limit': -2.81, 'object_name': 'tardis', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Gam}Mtr.RBV', 'units': 'deg', 'upper_ctrl_limit': 182.0}, 'tardis_gamma_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -2.81, 'object_name': 'tardis', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Gam}Mtr.VAL', 'units': 'deg', 'upper_ctrl_limit': 182.0}, 'tardis_h': {'dtype': 'number', 'lower_ctrl_limit': 0, 'object_name': 'tardis', 'shape': [], 'source': 'PY:tardis_h.position', 'units': '', 'upper_ctrl_limit': 0}, 'tardis_h_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0, 'object_name': 'tardis', 'shape': [], 'source': 'PY:tardis_h.target', 'units': '', 'upper_ctrl_limit': 0}, 'tardis_k': {'dtype': 'number', 'lower_ctrl_limit': 0, 'object_name': 'tardis', 'shape': [], 'source': 'PY:tardis_k.position', 'units': '', 'upper_ctrl_limit': 0}, 'tardis_k_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0, 'object_name': 'tardis', 'shape': [], 'source': 'PY:tardis_k.target', 'units': '', 'upper_ctrl_limit': 0}, 'tardis_l': {'dtype': 'number', 'lower_ctrl_limit': 0, 'object_name': 'tardis', 'shape': [], 'source': 'PY:tardis_l.position', 'units': '', 'upper_ctrl_limit': 0}, 'tardis_l_setpoint': {'dtype': 'number', 'lower_ctrl_limit': 0, 'object_name': 'tardis', 'shape': [], 'source': 'PY:tardis_l.target', 'units': '', 'upper_ctrl_limit': 0}, 'tardis_mu': {'dtype': 'number', 'lower_ctrl_limit': 0, 'object_name': 'tardis', 'shape': [], 'source': 'computed', 'units': '', 'upper_ctrl_limit': 0}, 'tardis_phi': {'dtype': 'number', 'lower_ctrl_limit': 0, 'object_name': 'tardis', 'shape': [], 'source': 'computed', 'units': '', 'upper_ctrl_limit': 0}, 'tardis_theta': {'dtype': 'number', 'lower_ctrl_limit': -496.5, 'object_name': 'tardis', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Th}Mtr.RBV', 'units': 'deg', 'upper_ctrl_limit': 414.5}, 'tardis_theta_user_setpoint': {'dtype': 'number', 'lower_ctrl_limit': -496.5, 'object_name': 'tardis', 'precision': 4, 'shape': [], 'source': 'PV:XF:23ID1-ES{Dif-Ax:Th}Mtr.VAL', 'units': 'deg', 'upper_ctrl_limit': 414.5}}, 'hints': {'cryoangle': {'fields': ['cryoangle_readback']}, 'nanop': {'fields': ['nanop_tx', 'nanop_ty', 'nanop_tz', 'nanop_bx', 'nanop_by', 'nanop_bz']}, 'say': {'fields': ['say']}, 'saz': {'fields': ['saz']}, 'smtr': {'fields': ['smtr']}, 'sx': {'fields': ['sx']}, 'sy': {'fields': ['sy_readback']}, 'sz': {'fields': ['sz_readback']}, 'tardis': {'fields': ['tardis_h', 'tardis_k', 'tardis_l']}}, 'name': 'baseline', 'object_keys': {'cryoangle': ['cryoangle_readback', 'cryoangle_setpoint'], 'epu1': ['epu1_gap_readback', 'epu1_gap_setpoint', 'epu1_gap_stop_signal', 'epu1_phase_readback', 'epu1_phase_setpoint', 'epu1_phase_stop_signal', 'epu1_x_off', 'epu1_x_ang', 'epu1_y_off', 'epu1_y_ang'], 'epu2': ['epu2_gap_readback', 'epu2_gap_setpoint', 'epu2_gap_stop_signal', 'epu2_phase_readback', 'epu2_phase_setpoint', 'epu2_phase_stop_signal', 'epu2_x_off', 'epu2_x_ang', 'epu2_y_off', 'epu2_y_ang'], 'm1a': ['m1a_z_readback', 'm1a_z_setpoint', 'm1a_z_actuate', 'm1a_z_stop_signal', 'm1a_z_done', 'm1a_y_readback', 'm1a_y_setpoint', 'm1a_y_actuate', 'm1a_y_stop_signal', 'm1a_y_done', 'm1a_x_readback', 'm1a_x_setpoint', 'm1a_x_actuate', 'm1a_x_stop_signal', 'm1a_x_done', 'm1a_pit_readback', 'm1a_pit_setpoint', 'm1a_pit_actuate', 'm1a_pit_stop_signal', 'm1a_pit_done', 'm1a_yaw_readback', 'm1a_yaw_setpoint', 'm1a_yaw_actuate', 'm1a_yaw_stop_signal', 'm1a_yaw_done', 'm1a_rol_readback', 'm1a_rol_setpoint', 'm1a_rol_actuate', 'm1a_rol_stop_signal', 'm1a_rol_done'], 'm3a': ['m3a_x', 'm3a_x_user_setpoint', 'm3a_pit', 'm3a_pit_user_setpoint', 'm3a_bdr', 'm3a_bdr_user_setpoint'], 'nanop': ['nanop_tx', 'nanop_tx_user_setpoint', 'nanop_ty', 'nanop_ty_user_setpoint', 'nanop_tz', 'nanop_tz_user_setpoint', 'nanop_bx', 'nanop_bx_user_setpoint', 'nanop_by', 'nanop_by_user_setpoint', 'nanop_bz_user_setpoint', 'nanop_bz', 'nanop_bz_done_signal'], 'pgm': ['pgm_energy_readback', 'pgm_energy_setpoint', 'pgm_energy_stop_signal', 'pgm_mir_pit', 'pgm_mir_pit_user_setpoint', 'pgm_mir_x', 'pgm_mir_x_user_setpoint', 'pgm_grt_pit', 'pgm_grt_pit_user_setpoint', 'pgm_grt_x', 'pgm_grt_x_user_setpoint', 'pgm_mir_temp_in', 'pgm_grt_temp_in', 'pgm_mir_temp_out', 'pgm_grt_temp_out', 'pgm_grt1_temp', 'pgm_grt2_temp', 'pgm_grt3_temp', 'pgm_grt4_temp'], 'say': ['say', 'say_user_setpoint'], 'saz': ['saz', 'saz_user_setpoint'], 'slt1': ['slt1_xg', 'slt1_xg_user_setpoint', 'slt1_xc', 'slt1_xc_user_setpoint', 'slt1_yg', 'slt1_yg_user_setpoint', 'slt1_yc', 'slt1_yc_user_setpoint'], 'slt2': ['slt2_xg', 'slt2_xg_user_setpoint', 'slt2_xc', 'slt2_xc_user_setpoint', 'slt2_yg', 'slt2_yg_user_setpoint', 'slt2_yc', 'slt2_yc_user_setpoint'], 'slt3': ['slt3_x', 'slt3_x_user_setpoint', 'slt3_y', 'slt3_y_user_setpoint'], 'smtr': ['smtr', 'smtr_user_readback_v', 'smtr_user_readback_r', 'smtr_user_setpoint', 'smtr_done_signal'], 'stemp': ['stemp_temp_B_T', 'stemp_temp_B_V', 'stemp_temp_B_status', 'stemp_temp_C_T', 'stemp_temp_C_V', 'stemp_temp_C_status', 'stemp_temp_D_T', 'stemp_temp_D_V', 'stemp_temp_D_status', 'stemp_temp_A_T', 'stemp_temp_A_V', 'stemp_temp_A_status', 'stemp_ctrl1_readback', 'stemp_ctrl1_setpoint', 'stemp_ctrl1_done', 'stemp_ctrl1_ramp_enabled', 'stemp_ctrl2_readback', 'stemp_ctrl2_setpoint', 'stemp_ctrl2_done', 'stemp_ctrl2_ramp_enabled'], 'sx': ['sx', 'sx_user_setpoint'], 'sy': ['sy_readback', 'sy_setpoint'], 'sz': ['sz_readback', 'sz_setpoint'], 'tardis': ['tardis_h_setpoint', 'tardis_h', 'tardis_k_setpoint', 'tardis_k', 'tardis_l_setpoint', 'tardis_l', 'tardis_theta', 'tardis_theta_user_setpoint', 'tardis_mu', 'tardis_chi', 'tardis_phi', 'tardis_delta', 'tardis_delta_user_setpoint', 'tardis_gamma', 'tardis_gamma_user_setpoint']}, 'run_start': '21a1f62d-7dd0-4856-873f-122b0f27c0f5', 'time': 1522282833.659415, 'uid': '3e8f3a80-db90-44b3-a209-046cc25b36f3'}) In [10]: next(stream) Out[10]: ('event', {'data': {'cryoangle_readback': 89.5, 'cryoangle_setpoint': 89.5, 'epu1_gap_readback': 89.9999968, 'epu1_gap_setpoint': 90.0, 'epu1_gap_stop_signal': 0, 'epu1_phase_readback': 4.800000000000001e-06, 'epu1_phase_setpoint': 0.0, 'epu1_phase_stop_signal': 0, 'epu1_x_ang': 0.008374, 'epu1_x_off': 0.006554, 'epu1_y_ang': -0.022109, 'epu1_y_off': 0.048804, 'epu2_gap_readback': 30.853960000000004, 'epu2_gap_setpoint': 22.0, 'epu2_gap_stop_signal': 0, 'epu2_phase_readback': 1.4400000000000001e-05, 'epu2_phase_setpoint': 0.0, 'epu2_phase_stop_signal': 0, 'epu2_x_ang': -0.014638, 'epu2_x_off': 0.001959, 'epu2_y_ang': -0.019088, 'epu2_y_off': -0.000148, 'm1a_pit_actuate': 1, 'm1a_pit_done': 0, 'm1a_pit_readback': 0.5000314674, 'm1a_pit_setpoint': 0.4999999999999998, 'm1a_pit_stop_signal': 1, 'm1a_rol_actuate': 1, 'm1a_rol_done': 0, 'm1a_rol_readback': 5.600028040000001, 'm1a_rol_setpoint': 5.6, 'm1a_rol_stop_signal': 1, 'm1a_x_actuate': 1, 'm1a_x_done': 0, 'm1a_x_readback': 8.58969852e-06, 'm1a_x_setpoint': -0.0, 'm1a_x_stop_signal': 1, 'm1a_y_actuate': 1, 'm1a_y_done': 0, 'm1a_y_readback': 0.7998714114, 'm1a_y_setpoint': 0.7999999999999998, 'm1a_y_stop_signal': 1, 'm1a_yaw_actuate': 1, 'm1a_yaw_done': 0, 'm1a_yaw_readback': 7.449178898e-05, 'm1a_yaw_setpoint': -0.0, 'm1a_yaw_stop_signal': 1, 'm1a_z_actuate': 1, 'm1a_z_done': 0, 'm1a_z_readback': 27.4998573, 'm1a_z_setpoint': 27.5, 'm1a_z_stop_signal': 1, 'm3a_bdr': 0.24935000000000002, 'm3a_bdr_user_setpoint': 0.24939999999999996, 'm3a_pit': -0.7951, 'm3a_pit_user_setpoint': -0.7958000000000001, 'm3a_x': -0.8502000000000001, 'm3a_x_user_setpoint': -0.8502500000000001, 'nanop_bx': 0.000876, 'nanop_bx_user_setpoint': 0.0010100000000000005, 'nanop_by': -11.530897999999999, 'nanop_by_user_setpoint': -11.530766999999999, 'nanop_bz': 1.1102230246251565e-16, 'nanop_bz_done_signal': 1, 'nanop_bz_user_setpoint': 1.3010426069826053e-16, 'nanop_tx': -0.9990690000000001, 'nanop_tx_user_setpoint': -0.999062, 'nanop_ty': -16.431169999999995, 'nanop_ty_user_setpoint': -16.431026999999997, 'nanop_tz': 2.71025, 'nanop_tz_user_setpoint': 2.710289, 'pgm_energy_readback': 925.9054085, 'pgm_energy_setpoint': 926.0, 'pgm_energy_stop_signal': 0, 'pgm_grt1_temp': 31.400000000000002, 'pgm_grt2_temp': 28.8, 'pgm_grt3_temp': 29.0, 'pgm_grt4_temp': 28.700000000000003, 'pgm_grt_pit': 1.386780051494, 'pgm_grt_pit_user_setpoint': 1.3867513649688001, 'pgm_grt_temp_in': 302.753, 'pgm_grt_temp_out': 302.784, 'pgm_grt_x': -3.1989, 'pgm_grt_x_user_setpoint': -3.2, 'pgm_mir_pit': 1.2651737744140625, 'pgm_mir_pit_user_setpoint': 1.2651945263671873, 'pgm_mir_temp_in': 302.751, 'pgm_mir_temp_out': 302.73, 'pgm_mir_x': 0.00030000000000000003, 'pgm_mir_x_user_setpoint': 0.0, 'say': 37.31955, 'say_user_setpoint': 37.31957946684399, 'saz': 14.398357500000001, 'saz_user_setpoint': 14.398400721792378, 'slt1_xc': 0.12960000000000016, 'slt1_xc_user_setpoint': 0.12960000000000016, 'slt1_xg': 3.000399999999999, 'slt1_xg_user_setpoint': 3.0, 'slt1_yc': 0.16979999999999995, 'slt1_yc_user_setpoint': 0.16949999999999998, 'slt1_yg': 3.0001999999999995, 'slt1_yg_user_setpoint': 2.9991999999999983, 'slt2_xc': 0.031100000000000003, 'slt2_xc_user_setpoint': 0.031100000000000003, 'slt2_xg': 10.1001, 'slt2_xg_user_setpoint': 10.1001, 'slt2_yc': -0.1, 'slt2_yc_user_setpoint': -0.1, 'slt2_yg': 9.0001, 'slt2_yg_user_setpoint': 9.0001, 'slt3_x': -6.0801, 'slt3_x_user_setpoint': -6.08, 'slt3_y': -0.25332000000000005, 'slt3_y_user_setpoint': -0.25, 'smtr': 0.0, 'smtr_done_signal': 0, 'smtr_user_readback_r': 0.0, 'smtr_user_readback_v': 0.0, 'smtr_user_setpoint': 0.0, 'stemp_ctrl1_done': 0, 'stemp_ctrl1_ramp_enabled': 1, 'stemp_ctrl1_readback': 70.0, 'stemp_ctrl1_setpoint': 70.0, 'stemp_ctrl2_done': 0, 'stemp_ctrl2_ramp_enabled': 0, 'stemp_ctrl2_readback': 500.0, 'stemp_ctrl2_setpoint': 0.0, 'stemp_temp_A_T': 70.001, 'stemp_temp_A_V': 1.04018, 'stemp_temp_A_status': 0, 'stemp_temp_B_T': 70.9568, 'stemp_temp_B_V': 1.03856, 'stemp_temp_B_status': 0, 'stemp_temp_C_T': 0.0, 'stemp_temp_C_V': 0.0, 'stemp_temp_C_status': 65535, 'stemp_temp_D_T': 0.0, 'stemp_temp_D_V': 0.0, 'stemp_temp_D_status': 65535, 'sx': 8.199999817, 'sx_user_setpoint': 8.2, 'sy_readback': -0.549998356819027, 'sy_setpoint': -0.55, 'sz_readback': -1.3749990612841787, 'sz_setpoint': -0.6999924031547633, 'tardis_chi': 0.0, 'tardis_delta': 153.532555469937, 'tardis_delta_user_setpoint': 153.532555469937, 'tardis_gamma': 0.350995296, 'tardis_gamma_user_setpoint': 0.3502260654229469, 'tardis_h': -0.0003603234404166835, 'tardis_h_setpoint': -0.0003603234404166835, 'tardis_k': 0.3745235384115216, 'tardis_k_setpoint': 0.3745235384115216, 'tardis_l': 1.3809022376836573, 'tardis_l_setpoint': 1.3809022376836573, 'tardis_mu': 0.0, 'tardis_phi': 0.0, 'tardis_theta': 120.00000847430516, 'tardis_theta_user_setpoint': 120.00000847430516}, 'descriptor': '3e8f3a80-db90-44b3-a209-046cc25b36f3', 'filled': {}, 'seq_num': 1, 'time': 1522282833.711924, 'timestamps': {'cryoangle_readback': 1522262619.565007, 'cryoangle_setpoint': 1522244953.119015, 'epu1_gap_readback': 1522282832.246856, 'epu1_gap_setpoint': 1521737490.507546, 'epu1_gap_stop_signal': 1522282832.515788, 'epu1_phase_readback': 1522282832.187023, 'epu1_phase_setpoint': 1519464401.49397, 'epu1_phase_stop_signal': 1522282832.515795, 'epu1_x_ang': 1522282832.630318, 'epu1_x_off': 1522282832.629426, 'epu1_y_ang': 1522282832.751735, 'epu1_y_off': 1522282832.6299, 'epu2_gap_readback': 1522282832.777841, 'epu2_gap_setpoint': 1522097873.314225, 'epu2_gap_stop_signal': 1522282832.768434, 'epu2_phase_readback': 1522282832.548209, 'epu2_phase_setpoint': 1522070746.796409, 'epu2_phase_stop_signal': 1522282832.669819, 'epu2_x_ang': 1522282832.99208, 'epu2_x_off': 1522282832.991628, 'epu2_y_ang': 1522282832.99232, 'epu2_y_off': 1522282832.991781, 'm1a_pit_actuate': 1522262531.760579, 'm1a_pit_done': 1522262532.827299, 'm1a_pit_readback': 1522282564.556967, 'm1a_pit_setpoint': 1519925790.366966, 'm1a_pit_stop_signal': 1520285210.290061, 'm1a_rol_actuate': 1522262531.760579, 'm1a_rol_done': 1522262532.827299, 'm1a_rol_readback': 1522282564.55697, 'm1a_rol_setpoint': 1516140526.541631, 'm1a_rol_stop_signal': 1520285210.290061, 'm1a_x_actuate': 1522262531.760579, 'm1a_x_done': 1522262532.827299, 'm1a_x_readback': 1522282564.557073, 'm1a_x_setpoint': 1513097457.728115, 'm1a_x_stop_signal': 1520285210.290061, 'm1a_y_actuate': 1522262531.760579, 'm1a_y_done': 1522262532.827299, 'm1a_y_readback': 1522282564.556976, 'm1a_y_setpoint': 1521737938.472675, 'm1a_y_stop_signal': 1520285210.290061, 'm1a_yaw_actuate': 1522262531.760579, 'm1a_yaw_done': 1522262532.827299, 'm1a_yaw_readback': 1522282564.556975, 'm1a_yaw_setpoint': 1513097457.719956, 'm1a_yaw_stop_signal': 1520285210.290061, 'm1a_z_actuate': 1522262531.760579, 'm1a_z_done': 1522262532.827299, 'm1a_z_readback': 1522282564.557071, 'm1a_z_setpoint': 1521732535.948234, 'm1a_z_stop_signal': 1520285210.290061, 'm3a_bdr': 1522282814.359911, 'm3a_bdr_user_setpoint': 1522282814.359911, 'm3a_pit': 1522282833.071868, 'm3a_pit_user_setpoint': 1522282833.071868, 'm3a_x': 1522282829.36708, 'm3a_x_user_setpoint': 1522282829.36708, 'nanop_bx': 1522282831.155271, 'nanop_bx_user_setpoint': 1522266516.421035, 'nanop_by': 1522282832.771522, 'nanop_by_user_setpoint': 1522266681.461046, 'nanop_bz': 1522277262.030656, 'nanop_bz_done_signal': 1522277267.091151, 'nanop_bz_user_setpoint': 1522277262.030529, 'nanop_tx': 1522282830.879121, 'nanop_tx_user_setpoint': 1522266516.414564, 'nanop_ty': 1522282823.610772, 'nanop_ty_user_setpoint': 1522266773.277424, 'nanop_tz': 1522282826.496856, 'nanop_tz_user_setpoint': 1522266915.170164, 'pgm_energy_readback': 1522282833.352521, 'pgm_energy_setpoint': 1522262401.104336, 'pgm_energy_stop_signal': 1522262410.010426, 'pgm_grt1_temp': 1522282832.858197, 'pgm_grt2_temp': 1522282832.858199, 'pgm_grt3_temp': 1522282832.858201, 'pgm_grt4_temp': 1522282832.858203, 'pgm_grt_pit': 1522282833.472867, 'pgm_grt_pit_user_setpoint': 1522282833.472867, 'pgm_grt_temp_in': 1522282833.31466, 'pgm_grt_temp_out': 1522282833.474675, 'pgm_grt_x': 1522274085.360492, 'pgm_grt_x_user_setpoint': 1522274085.360492, 'pgm_mir_pit': 1522282833.464637, 'pgm_mir_pit_user_setpoint': 1522282833.464637, 'pgm_mir_temp_in': 1522282833.234712, 'pgm_mir_temp_out': 1522282833.394891, 'pgm_mir_x': 1522253027.930762, 'pgm_mir_x_user_setpoint': 1522253027.930762, 'say': 1522261862.258428, 'say_user_setpoint': 1522261862.258428, 'saz': 1522262619.564815, 'saz_user_setpoint': 1522262619.564815, 'slt1_xc': 1522274500.236624, 'slt1_xc_user_setpoint': 1522274500.236624, 'slt1_xg': 1522274500.236522, 'slt1_xg_user_setpoint': 1522274500.236522, 'slt1_yc': 1522278575.725935, 'slt1_yc_user_setpoint': 1522278575.725935, 'slt1_yg': 1522278334.677354, 'slt1_yg_user_setpoint': 1522278334.677354, 'slt2_xc': 631152000.0, 'slt2_xc_user_setpoint': 631152000.0, 'slt2_xg': 631152000.0, 'slt2_xg_user_setpoint': 631152000.0, 'slt2_yc': 631152000.0, 'slt2_yc_user_setpoint': 631152000.0, 'slt2_yg': 631152000.0, 'slt2_yg_user_setpoint': 631152000.0, 'slt3_x': 1522282832.076934, 'slt3_x_user_setpoint': 1522282832.076934, 'slt3_y': 1522282833.111292, 'slt3_y_user_setpoint': 1522282833.111292, 'smtr': 1522087917.095044, 'smtr_done_signal': 631152000.0, 'smtr_user_readback_r': 631152000.0, 'smtr_user_readback_v': 1522282830.185529, 'smtr_user_setpoint': 631152000.0, 'stemp_ctrl1_done': 1522282563.505134, 'stemp_ctrl1_ramp_enabled': 1522255424.235345, 'stemp_ctrl1_readback': 1522282563.49526, 'stemp_ctrl1_setpoint': 1522255420.540192, 'stemp_ctrl2_done': 1522282563.565169, 'stemp_ctrl2_ramp_enabled': 631152000.0, 'stemp_ctrl2_readback': 1522282563.555033, 'stemp_ctrl2_setpoint': 631152000.0, 'stemp_temp_A_T': 1522282833.229255, 'stemp_temp_A_V': 1522282833.248917, 'stemp_temp_A_status': 1522282833.258992, 'stemp_temp_B_T': 1522282833.288848, 'stemp_temp_B_V': 1522282833.318837, 'stemp_temp_B_status': 1522282833.328726, 'stemp_temp_C_T': 1522282833.358937, 'stemp_temp_C_V': 1522282833.379024, 'stemp_temp_C_status': 1522282833.389011, 'stemp_temp_D_T': 1522282833.429033, 'stemp_temp_D_V': 1522282831.448425, 'stemp_temp_D_status': 1522282831.458608, 'sx': 1522262181.67939, 'sx_user_setpoint': 1522262181.67939, 'sy_readback': 1522262619.565011, 'sy_setpoint': 1522261750.50179, 'sz_readback': 1522262619.565026, 'sz_setpoint': 1522107993.276197, 'tardis_chi': 1522282833.4231067, 'tardis_delta': 1522282817.536058, 'tardis_delta_user_setpoint': 1522282817.536058, 'tardis_gamma': 1522282641.648135, 'tardis_gamma_user_setpoint': 1522282641.648135, 'tardis_h': 1522282563.7684247, 'tardis_h_setpoint': 1522282563.7684786, 'tardis_k': 1522282563.7686503, 'tardis_k_setpoint': 1522282563.7687025, 'tardis_l': 1522282563.7688632, 'tardis_l_setpoint': 1522282563.7689147, 'tardis_mu': 1522282833.4230986, 'tardis_phi': 1522282833.423111, 'tardis_theta': 1522282813.193454, 'tardis_theta_user_setpoint': 1522282813.193454}, 'uid': 'e6e180ed-b44a-49eb-b00d-d5cb31747864'}) In [11]: next(stream) Out[11]: ('event', {'data': {'cryoangle_readback': 89.5, 'cryoangle_setpoint': 89.5, 'epu1_gap_readback': 90.0000096, 'epu1_gap_setpoint': 90.0, 'epu1_gap_stop_signal': 0, 'epu1_phase_readback': 9.600000000000001e-06, 'epu1_phase_setpoint': 0.0, 'epu1_phase_stop_signal': 0, 'epu1_x_ang': 0.008017999999999999, 'epu1_x_off': 0.007215, 'epu1_y_ang': -0.022056, 'epu1_y_off': 0.048785999999999996, 'epu2_gap_readback': 30.8539648, 'epu2_gap_setpoint': 22.0, 'epu2_gap_stop_signal': 0, 'epu2_phase_readback': 2.5600000000000002e-05, 'epu2_phase_setpoint': 0.0, 'epu2_phase_stop_signal': 0, 'epu2_x_ang': -0.014591999999999999, 'epu2_x_off': 0.002152, 'epu2_y_ang': -0.01917, 'epu2_y_off': 0.001273, 'm1a_pit_actuate': 1, 'm1a_pit_done': 0, 'm1a_pit_readback': 0.5000314674, 'm1a_pit_setpoint': 0.4999999999999998, 'm1a_pit_stop_signal': 1, 'm1a_rol_actuate': 1, 'm1a_rol_done': 0, 'm1a_rol_readback': 5.600028040000001, 'm1a_rol_setpoint': 5.6, 'm1a_rol_stop_signal': 1, 'm1a_x_actuate': 1, 'm1a_x_done': 0, 'm1a_x_readback': 8.58969852e-06, 'm1a_x_setpoint': -0.0, 'm1a_x_stop_signal': 1, 'm1a_y_actuate': 1, 'm1a_y_done': 0, 'm1a_y_readback': 0.7998714114, 'm1a_y_setpoint': 0.7999999999999998, 'm1a_y_stop_signal': 1, 'm1a_yaw_actuate': 1, 'm1a_yaw_done': 0, 'm1a_yaw_readback': 7.449178898e-05, 'm1a_yaw_setpoint': -0.0, 'm1a_yaw_stop_signal': 1, 'm1a_z_actuate': 1, 'm1a_z_done': 0, 'm1a_z_readback': 27.4998573, 'm1a_z_setpoint': 27.5, 'm1a_z_stop_signal': 1, 'm3a_bdr': 0.24935000000000002, 'm3a_bdr_user_setpoint': 0.24939999999999996, 'm3a_pit': -0.7951, 'm3a_pit_user_setpoint': -0.7958000000000001, 'm3a_x': -0.8501500000000001, 'm3a_x_user_setpoint': -0.8502500000000001, 'nanop_bx': 0.0008769999999999993, 'nanop_bx_user_setpoint': 0.0010100000000000005, 'nanop_by': -11.530899, 'nanop_by_user_setpoint': -11.530766999999999, 'nanop_bz': 1.1102230246251565e-16, 'nanop_bz_done_signal': 1, 'nanop_bz_user_setpoint': 1.3010426069826053e-16, 'nanop_tx': -0.9990690000000001, 'nanop_tx_user_setpoint': -0.999062, 'nanop_ty': -16.431168999999997, 'nanop_ty_user_setpoint': -16.431026999999997, 'nanop_tz': 2.71025, 'nanop_tz_user_setpoint': 2.710289, 'pgm_energy_readback': 926.0940388, 'pgm_energy_setpoint': 926.0, 'pgm_energy_stop_signal': 0, 'pgm_grt1_temp': 31.3, 'pgm_grt2_temp': 28.900000000000002, 'pgm_grt3_temp': 28.900000000000002, 'pgm_grt4_temp': 28.8, 'pgm_grt_pit': 1.3867776100876, 'pgm_grt_pit_user_setpoint': 1.3867513649688001, 'pgm_grt_temp_in': 302.751, 'pgm_grt_temp_out': 302.786, 'pgm_grt_x': -3.1989, 'pgm_grt_x_user_setpoint': -3.2, 'pgm_mir_pit': 1.2651872021484376, 'pgm_mir_pit_user_setpoint': 1.2651945263671873, 'pgm_mir_temp_in': 302.749, 'pgm_mir_temp_out': 302.727, 'pgm_mir_x': 0.00030000000000000003, 'pgm_mir_x_user_setpoint': 0.0, 'say': 37.31955, 'say_user_setpoint': 37.31957946684399, 'saz': 14.398357500000001, 'saz_user_setpoint': 14.398400721792378, 'slt1_xc': 0.12960000000000016, 'slt1_xc_user_setpoint': 0.12960000000000016, 'slt1_xg': 3.000399999999999, 'slt1_xg_user_setpoint': 3.0, 'slt1_yc': 0.16979999999999995, 'slt1_yc_user_setpoint': 0.16949999999999998, 'slt1_yg': 3.0001999999999995, 'slt1_yg_user_setpoint': 2.9991999999999983, 'slt2_xc': 0.031100000000000003, 'slt2_xc_user_setpoint': 0.031100000000000003, 'slt2_xg': 10.1001, 'slt2_xg_user_setpoint': 10.1001, 'slt2_yc': -0.1, 'slt2_yc_user_setpoint': -0.1, 'slt2_yg': 9.0001, 'slt2_yg_user_setpoint': 9.0001, 'slt3_x': -6.0801, 'slt3_x_user_setpoint': -6.08, 'slt3_y': -0.25333, 'slt3_y_user_setpoint': -0.25, 'smtr': 0.0, 'smtr_done_signal': 0, 'smtr_user_readback_r': 0.0, 'smtr_user_readback_v': 0.0, 'smtr_user_setpoint': 0.0, 'stemp_ctrl1_done': 0, 'stemp_ctrl1_ramp_enabled': 1, 'stemp_ctrl1_readback': 70.0, 'stemp_ctrl1_setpoint': 70.0, 'stemp_ctrl2_done': 0, 'stemp_ctrl2_ramp_enabled': 0, 'stemp_ctrl2_readback': 500.0, 'stemp_ctrl2_setpoint': 0.0, 'stemp_temp_A_T': 70.004, 'stemp_temp_A_V': 1.04018, 'stemp_temp_A_status': 0, 'stemp_temp_B_T': 70.9548, 'stemp_temp_B_V': 1.03856, 'stemp_temp_B_status': 0, 'stemp_temp_C_T': 0.0, 'stemp_temp_C_V': 0.0, 'stemp_temp_C_status': 65535, 'stemp_temp_D_T': 0.0, 'stemp_temp_D_V': 0.0, 'stemp_temp_D_status': 65535, 'sx': 8.199999817, 'sx_user_setpoint': 8.2, 'sy_readback': -0.549998356819027, 'sy_setpoint': -0.55, 'sz_readback': -1.3749990612841787, 'sz_setpoint': -0.6999924031547633, 'tardis_chi': 0.0, 'tardis_delta': 153.532555469937, 'tardis_delta_user_setpoint': 153.532547842818, 'tardis_gamma': 0.350995296, 'tardis_gamma_user_setpoint': 0.3502260654229469, 'tardis_h': -0.00037239959272961196, 'tardis_h_setpoint': -0.00037239959272961196, 'tardis_k': 0.375978720892372, 'tardis_k_setpoint': 0.375978720892372, 'tardis_l': 1.3765700544604196, 'tardis_l_setpoint': 1.3765700544604196, 'tardis_mu': 0.0, 'tardis_phi': 0.0, 'tardis_theta': 120.20001440650677, 'tardis_theta_user_setpoint': 120.20000847430516}, 'descriptor': '3e8f3a80-db90-44b3-a209-046cc25b36f3', 'filled': {}, 'seq_num': 2, 'time': 1522282854.8671625, 'timestamps': {'cryoangle_readback': 1522262619.565007, 'cryoangle_setpoint': 1522244953.119015, 'epu1_gap_readback': 1522282853.975243, 'epu1_gap_setpoint': 1521737490.507546, 'epu1_gap_stop_signal': 1522282854.019105, 'epu1_phase_readback': 1522282854.453263, 'epu1_phase_setpoint': 1519464401.49397, 'epu1_phase_stop_signal': 1522282854.44968, 'epu1_x_ang': 1522282854.476926, 'epu1_x_off': 1522282854.476187, 'epu1_y_ang': 1522282854.477304, 'epu1_y_off': 1522282854.476567, 'epu2_gap_readback': 1522282854.488089, 'epu2_gap_setpoint': 1522097873.314225, 'epu2_gap_stop_signal': 1522282854.478593, 'epu2_phase_readback': 1522282854.166733, 'epu2_phase_setpoint': 1522070746.796409, 'epu2_phase_stop_signal': 1522282854.173603, 'epu2_x_ang': 1522282854.598121, 'epu2_x_off': 1522282854.597221, 'epu2_y_ang': 1522282854.59858, 'epu2_y_off': 1522282854.597732, 'm1a_pit_actuate': 1522262531.760579, 'm1a_pit_done': 1522262532.827299, 'm1a_pit_readback': 1522282564.556967, 'm1a_pit_setpoint': 1519925790.366966, 'm1a_pit_stop_signal': 1520285210.290061, 'm1a_rol_actuate': 1522262531.760579, 'm1a_rol_done': 1522262532.827299, 'm1a_rol_readback': 1522282564.55697, 'm1a_rol_setpoint': 1516140526.541631, 'm1a_rol_stop_signal': 1520285210.290061, 'm1a_x_actuate': 1522262531.760579, 'm1a_x_done': 1522262532.827299, 'm1a_x_readback': 1522282564.557073, 'm1a_x_setpoint': 1513097457.728115, 'm1a_x_stop_signal': 1520285210.290061, 'm1a_y_actuate': 1522262531.760579, 'm1a_y_done': 1522262532.827299, 'm1a_y_readback': 1522282564.556976, 'm1a_y_setpoint': 1521737938.472675, 'm1a_y_stop_signal': 1520285210.290061, 'm1a_yaw_actuate': 1522262531.760579, 'm1a_yaw_done': 1522262532.827299, 'm1a_yaw_readback': 1522282564.556975, 'm1a_yaw_setpoint': 1513097457.719956, 'm1a_yaw_stop_signal': 1520285210.290061, 'm1a_z_actuate': 1522262531.760579, 'm1a_z_done': 1522262532.827299, 'm1a_z_readback': 1522282564.557071, 'm1a_z_setpoint': 1521732535.948234, 'm1a_z_stop_signal': 1520285210.290061, 'm3a_bdr': 1522282843.198923, 'm3a_bdr_user_setpoint': 1522282843.198923, 'm3a_pit': 1522282853.581996, 'm3a_pit_user_setpoint': 1522282853.581996, 'm3a_x': 1522282853.582043, 'm3a_x_user_setpoint': 1522282853.582043, 'nanop_bx': 1522282850.530962, 'nanop_bx_user_setpoint': 1522266516.421035, 'nanop_by': 1522282853.636476, 'nanop_by_user_setpoint': 1522266681.461046, 'nanop_bz': 1522277262.030656, 'nanop_bz_done_signal': 1522277267.091151, 'nanop_bz_user_setpoint': 1522277262.030529, 'nanop_tx': 1522282848.759246, 'nanop_tx_user_setpoint': 1522266516.414564, 'nanop_ty': 1522282851.931852, 'nanop_ty_user_setpoint': 1522266773.277424, 'nanop_tz': 1522282853.330362, 'nanop_tz_user_setpoint': 1522266915.170164, 'pgm_energy_readback': 1522282854.760635, 'pgm_energy_setpoint': 1522262401.104336, 'pgm_energy_stop_signal': 1522262410.010426, 'pgm_grt1_temp': 1522282853.859789, 'pgm_grt2_temp': 1522282853.859791, 'pgm_grt3_temp': 1522282853.859793, 'pgm_grt4_temp': 1522282853.859795, 'pgm_grt_pit': 1522282854.673462, 'pgm_grt_pit_user_setpoint': 1522282854.673462, 'pgm_grt_temp_in': 1522282853.318923, 'pgm_grt_temp_out': 1522282853.478773, 'pgm_grt_x': 1522274085.360492, 'pgm_grt_x_user_setpoint': 1522274085.360492, 'pgm_mir_pit': 1522282854.666474, 'pgm_mir_pit_user_setpoint': 1522282854.666474, 'pgm_mir_temp_in': 1522282853.238771, 'pgm_mir_temp_out': 1522282853.398803, 'pgm_mir_x': 1522253027.930762, 'pgm_mir_x_user_setpoint': 1522253027.930762, 'say': 1522261862.258428, 'say_user_setpoint': 1522261862.258428, 'saz': 1522262619.564815, 'saz_user_setpoint': 1522262619.564815, 'slt1_xc': 1522274500.236624, 'slt1_xc_user_setpoint': 1522274500.236624, 'slt1_xg': 1522274500.236522, 'slt1_xg_user_setpoint': 1522274500.236522, 'slt1_yc': 1522278575.725935, 'slt1_yc_user_setpoint': 1522278575.725935, 'slt1_yg': 1522278334.677354, 'slt1_yg_user_setpoint': 1522278334.677354, 'slt2_xc': 631152000.0, 'slt2_xc_user_setpoint': 631152000.0, 'slt2_xg': 631152000.0, 'slt2_xg_user_setpoint': 631152000.0, 'slt2_yc': 631152000.0, 'slt2_yc_user_setpoint': 631152000.0, 'slt2_yg': 631152000.0, 'slt2_yg_user_setpoint': 631152000.0, 'slt3_x': 1522282850.808849, 'slt3_x_user_setpoint': 1522282850.808849, 'slt3_y': 1522282852.949825, 'slt3_y_user_setpoint': 1522282852.949825, 'smtr': 1522087917.095044, 'smtr_done_signal': 631152000.0, 'smtr_user_readback_r': 631152000.0, 'smtr_user_readback_v': 1522282854.185623, 'smtr_user_setpoint': 631152000.0, 'stemp_ctrl1_done': 1522282563.505134, 'stemp_ctrl1_ramp_enabled': 1522255424.235345, 'stemp_ctrl1_readback': 1522282563.49526, 'stemp_ctrl1_setpoint': 1522255420.540192, 'stemp_ctrl2_done': 1522282563.565169, 'stemp_ctrl2_ramp_enabled': 631152000.0, 'stemp_ctrl2_readback': 1522282563.555033, 'stemp_ctrl2_setpoint': 631152000.0, 'stemp_temp_A_T': 1522282853.232856, 'stemp_temp_A_V': 1522282853.252684, 'stemp_temp_A_status': 1522282853.262705, 'stemp_temp_B_T': 1522282853.292811, 'stemp_temp_B_V': 1522282853.322759, 'stemp_temp_B_status': 1522282853.333006, 'stemp_temp_C_T': 1522282853.363, 'stemp_temp_C_V': 1522282853.382853, 'stemp_temp_C_status': 1522282853.393141, 'stemp_temp_D_T': 1522282853.432992, 'stemp_temp_D_V': 1522282853.452956, 'stemp_temp_D_status': 1522282853.462785, 'sx': 1522262181.67939, 'sx_user_setpoint': 1522262181.67939, 'sy_readback': 1522262619.565011, 'sy_setpoint': 1522261750.50179, 'sz_readback': 1522262619.565026, 'sz_setpoint': 1522107993.276197, 'tardis_chi': 1522282854.8293417, 'tardis_delta': 1522282848.03646, 'tardis_delta_user_setpoint': 1522282853.914352, 'tardis_gamma': 1522282641.648135, 'tardis_gamma_user_setpoint': 1522282641.648135, 'tardis_h': 1522282563.7684247, 'tardis_h_setpoint': 1522282563.7684786, 'tardis_k': 1522282563.7686503, 'tardis_k_setpoint': 1522282563.7687025, 'tardis_l': 1522282563.7688632, 'tardis_l_setpoint': 1522282563.7689147, 'tardis_mu': 1522282854.8293364, 'tardis_phi': 1522282854.8293455, 'tardis_theta': 1522282854.264142, 'tardis_theta_user_setpoint': 1522282854.264142}, 'uid': '85c5a074-f9d1-4f89-9e8d-42aa820dd359'}) In [12]: next(stream) Out[12]: ('stop', {'exit_status': 'success', 'num_events': {'baseline': 2, 'primary': 3}, 'run_start': '21a1f62d-7dd0-4856-873f-122b0f27c0f5', 'time': 1522282854.8711045, 'uid': '00732a1d-8675-48ec-80a2-530cca73ca1f'}) In [13]: next(stream) --------------------------------------------------------------------------- StopIteration Traceback (most recent call last) in () ----> 1 next(stream) StopIteration: ```
jrmlhermitte commented 6 years ago

i should have mentioned try documents(fill=True) When I try that, I get a new error:

OSError: Unable to open file (unable to open file: name = '/GPFS/xf23id/xf23id1/xspress3_data/2018/03/28/04623de1-316b-4cb0-b137_000000.h5', errno = 116, error message = 'Stale file handle', flags = 0, o_flags = 0)

In [20]: !ls /GPFS/xf23id/xf23id1
ls: cannot access /GPFS/xf23id/xf23id1: Stale file handle

I have emailed IT about this. Once resolved, filling could help us better understand what is going on i think.

jrmlhermitte commented 6 years ago

what scan generated this? I have looked at error now and it seems that you're trying to read an hdf5 file that only has two data points

IndexError: index 2 is out of bounds for axis 0 with size 2

In [10]: %debug
> /opt/conda_envs/collection-2018-1.0/lib/python3.6/site-packages/databroker/assets/handlers.py(641)__call__()
    639         # Don't read out the dataset until it is requested for the first time.
    640         self._get_dataset()
--> 641         return self._dataset[frame, channel - 1, :].squeeze()
    642 
    643     def get_roi(self, chan, bin_low, bin_high, frame=None, max_points=None):

ipdb> p channel
1
ipdb> p self._dataset
array([[[  9.00071972,   9.00071972,  10.00079969, ...,   0.        ,
           0.        ,   0.        ]],

       [[  5.00014788,  10.00029576,   9.00026618, ...,   0.        ,
           0.        ,   0.        ]]])
ipdb> p frame
2