Nic30 / hdlConvertor

Fast Verilog/VHDL parser preprocessor and code generator for C++/Python based on ANTLR4
MIT License
281 stars 66 forks source link

Build error on windows #179

Closed TitanCheat closed 1 year ago

TitanCheat commented 1 year ago

I'm trying to use this library in windows using C++. however, I can't build the library successfully. here is what I got:

6>hdlConvertor.obj : error LNK2019: unresolved external symbol "public: __cdecl antlr4::ANTLRInputStream::ANTLRInputStream(class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const &)" (??0ANTLRInputStream@antlr4@@QEAA@AEBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@Z) referenced in function "public: void __cdecl hdlConvertor::SVParserContainer::parse_file(class std::filesystem::path const &,class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > &,class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const &,bool)" (?parse_file@SVParserContainer@hdlConvertor@@QEAAXAEBVpath@filesystem@std@@AEAV?$vector@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@V?$allocator@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@@5@AEBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@5@_N@Z)
6>verilogPreproc.lib(verilogPreprocContainer.obj) : error LNK2001: unresolved external symbol "public: __cdecl antlr4::ANTLRInputStream::ANTLRInputStream(class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const &)" (??0ANTLRInputStream@antlr4@@QEAA@AEBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@Z)
6>hdlConvertor_core.lib(encodingConversions.obj) : error LNK2001: unresolved external symbol "public: __cdecl antlr4::ANTLRInputStream::ANTLRInputStream(class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const &)" (??0ANTLRInputStream@antlr4@@QEAA@AEBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@Z)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::dfa::Vocabulary const & __cdecl antlr4::Recognizer::getVocabulary(void)const " (?getVocabulary@Recognizer@antlr4@@UEBAAEBVVocabulary@dfa@2@XZ)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::dfa::Vocabulary const & __cdecl antlr4::Recognizer::getVocabulary(void)const " (?getVocabulary@Recognizer@antlr4@@UEBAAEBVVocabulary@dfa@2@XZ)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::dfa::Vocabulary const & __cdecl antlr4::Recognizer::getVocabulary(void)const " (?getVocabulary@Recognizer@antlr4@@UEBAAEBVVocabulary@dfa@2@XZ)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: virtual class std::map<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,unsigned __int64,struct std::less<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > >,class std::allocator<struct std::pair<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const ,unsigned __int64> > > __cdecl antlr4::Recognizer::getTokenTypeMap(void)" (?getTokenTypeMap@Recognizer@antlr4@@UEAA?AV?$map@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_KU?$less@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@V?$allocator@U?$pair@$$CBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@std@@@2@@std@@XZ)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual class std::map<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,unsigned __int64,struct std::less<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > >,class std::allocator<struct std::pair<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const ,unsigned __int64> > > __cdecl antlr4::Recognizer::getTokenTypeMap(void)" (?getTokenTypeMap@Recognizer@antlr4@@UEAA?AV?$map@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_KU?$less@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@V?$allocator@U?$pair@$$CBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@std@@@2@@std@@XZ)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: virtual class std::map<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,unsigned __int64,struct std::less<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > >,class std::allocator<struct std::pair<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const ,unsigned __int64> > > __cdecl antlr4::Recognizer::getTokenTypeMap(void)" (?getTokenTypeMap@Recognizer@antlr4@@UEAA?AV?$map@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_KU?$less@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@V?$allocator@U?$pair@$$CBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@std@@@2@@std@@XZ)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual class std::map<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,unsigned __int64,struct std::less<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > >,class std::allocator<struct std::pair<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const ,unsigned __int64> > > __cdecl antlr4::Recognizer::getTokenTypeMap(void)" (?getTokenTypeMap@Recognizer@antlr4@@UEAA?AV?$map@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_KU?$less@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@V?$allocator@U?$pair@$$CBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@std@@@2@@std@@XZ)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: virtual class std::map<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,unsigned __int64,struct std::less<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > >,class std::allocator<struct std::pair<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const ,unsigned __int64> > > __cdecl antlr4::Recognizer::getTokenTypeMap(void)" (?getTokenTypeMap@Recognizer@antlr4@@UEAA?AV?$map@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_KU?$less@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@V?$allocator@U?$pair@$$CBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@std@@@2@@std@@XZ)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual class std::map<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,unsigned __int64,struct std::less<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > >,class std::allocator<struct std::pair<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const ,unsigned __int64> > > __cdecl antlr4::Recognizer::getTokenTypeMap(void)" (?getTokenTypeMap@Recognizer@antlr4@@UEAA?AV?$map@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_KU?$less@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@V?$allocator@U?$pair@$$CBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@std@@@2@@std@@XZ)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::Recognizer::getTokenType(class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const &)" (?getTokenType@Recognizer@antlr4@@UEAA_KAEBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@Z)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::Recognizer::getTokenType(class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const &)" (?getTokenType@Recognizer@antlr4@@UEAA_KAEBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@Z)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::Recognizer::getTokenType(class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const &)" (?getTokenType@Recognizer@antlr4@@UEAA_KAEBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@Z)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::Recognizer::getTokenType(class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const &)" (?getTokenType@Recognizer@antlr4@@UEAA_KAEBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@Z)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::Recognizer::getTokenType(class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const &)" (?getTokenType@Recognizer@antlr4@@UEAA_KAEBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@Z)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::Recognizer::getTokenType(class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const &)" (?getTokenType@Recognizer@antlr4@@UEAA_KAEBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@Z)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::Recognizer::getState(void)const " (?getState@Recognizer@antlr4@@UEBA_KXZ)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::Recognizer::getState(void)const " (?getState@Recognizer@antlr4@@UEBA_KXZ)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::Recognizer::getState(void)const " (?getState@Recognizer@antlr4@@UEBA_KXZ)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::Recognizer::getState(void)const " (?getState@Recognizer@antlr4@@UEBA_KXZ)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::Recognizer::getState(void)const " (?getState@Recognizer@antlr4@@UEBA_KXZ)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::Recognizer::getState(void)const " (?getState@Recognizer@antlr4@@UEBA_KXZ)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::atn::ATN::~ATN(void)" (??1ATN@atn@antlr4@@UEAA@XZ)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::atn::ATN::~ATN(void)" (??1ATN@atn@antlr4@@UEAA@XZ)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::atn::ATN::~ATN(void)" (??1ATN@atn@antlr4@@UEAA@XZ)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::atn::ATN::~ATN(void)" (??1ATN@atn@antlr4@@UEAA@XZ)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::atn::ATN::~ATN(void)" (??1ATN@atn@antlr4@@UEAA@XZ)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::atn::ATN::~ATN(void)" (??1ATN@atn@antlr4@@UEAA@XZ)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: class antlr4::atn::ATN & __cdecl antlr4::atn::ATN::operator=(class antlr4::atn::ATN &&)" (??4ATN@atn@antlr4@@QEAAAEAV012@$$QEAV012@@Z)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: class antlr4::atn::ATN & __cdecl antlr4::atn::ATN::operator=(class antlr4::atn::ATN &&)" (??4ATN@atn@antlr4@@QEAAAEAV012@$$QEAV012@@Z)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: class antlr4::atn::ATN & __cdecl antlr4::atn::ATN::operator=(class antlr4::atn::ATN &&)" (??4ATN@atn@antlr4@@QEAAAEAV012@$$QEAV012@@Z)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: class antlr4::atn::ATN & __cdecl antlr4::atn::ATN::operator=(class antlr4::atn::ATN &&)" (??4ATN@atn@antlr4@@QEAAAEAV012@$$QEAV012@@Z)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: class antlr4::atn::ATN & __cdecl antlr4::atn::ATN::operator=(class antlr4::atn::ATN &&)" (??4ATN@atn@antlr4@@QEAAAEAV012@$$QEAV012@@Z)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: class antlr4::atn::ATN & __cdecl antlr4::atn::ATN::operator=(class antlr4::atn::ATN &&)" (??4ATN@atn@antlr4@@QEAAAEAV012@$$QEAV012@@Z)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::atn::DecisionState * __cdecl antlr4::atn::ATN::getDecisionState(unsigned __int64)const " (?getDecisionState@ATN@atn@antlr4@@UEBAPEAVDecisionState@23@_K@Z)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::atn::DecisionState * __cdecl antlr4::atn::ATN::getDecisionState(unsigned __int64)const " (?getDecisionState@ATN@atn@antlr4@@UEBAPEAVDecisionState@23@_K@Z)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::atn::DecisionState * __cdecl antlr4::atn::ATN::getDecisionState(unsigned __int64)const " (?getDecisionState@ATN@atn@antlr4@@UEBAPEAVDecisionState@23@_K@Z)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::atn::DecisionState * __cdecl antlr4::atn::ATN::getDecisionState(unsigned __int64)const " (?getDecisionState@ATN@atn@antlr4@@UEBAPEAVDecisionState@23@_K@Z)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::atn::DecisionState * __cdecl antlr4::atn::ATN::getDecisionState(unsigned __int64)const " (?getDecisionState@ATN@atn@antlr4@@UEBAPEAVDecisionState@23@_K@Z)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::atn::DecisionState * __cdecl antlr4::atn::ATN::getDecisionState(unsigned __int64)const " (?getDecisionState@ATN@atn@antlr4@@UEBAPEAVDecisionState@23@_K@Z)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::atn::ATN::getNumberOfDecisions(void)const " (?getNumberOfDecisions@ATN@atn@antlr4@@UEBA_KXZ)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::atn::ATN::getNumberOfDecisions(void)const " (?getNumberOfDecisions@ATN@atn@antlr4@@UEBA_KXZ)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::atn::ATN::getNumberOfDecisions(void)const " (?getNumberOfDecisions@ATN@atn@antlr4@@UEBA_KXZ)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::atn::ATN::getNumberOfDecisions(void)const " (?getNumberOfDecisions@ATN@atn@antlr4@@UEBA_KXZ)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::atn::ATN::getNumberOfDecisions(void)const " (?getNumberOfDecisions@ATN@atn@antlr4@@UEBA_KXZ)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual unsigned __int64 __cdecl antlr4::atn::ATN::getNumberOfDecisions(void)const " (?getNumberOfDecisions@ATN@atn@antlr4@@UEBA_KXZ)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::dfa::Vocabulary::~Vocabulary(void)" (??1Vocabulary@dfa@antlr4@@UEAA@XZ)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::dfa::Vocabulary::~Vocabulary(void)" (??1Vocabulary@dfa@antlr4@@UEAA@XZ)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::dfa::Vocabulary::~Vocabulary(void)" (??1Vocabulary@dfa@antlr4@@UEAA@XZ)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::dfa::Vocabulary::~Vocabulary(void)" (??1Vocabulary@dfa@antlr4@@UEAA@XZ)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::dfa::Vocabulary::~Vocabulary(void)" (??1Vocabulary@dfa@antlr4@@UEAA@XZ)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::dfa::Vocabulary::~Vocabulary(void)" (??1Vocabulary@dfa@antlr4@@UEAA@XZ)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: __cdecl antlr4::dfa::Vocabulary::Vocabulary(class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > const &,class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > const &)" (??0Vocabulary@dfa@antlr4@@QEAA@AEBV?$vector@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@V?$allocator@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@@std@@0@Z)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: __cdecl antlr4::dfa::Vocabulary::Vocabulary(class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > const &,class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > const &)" (??0Vocabulary@dfa@antlr4@@QEAA@AEBV?$vector@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@V?$allocator@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@@std@@0@Z)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: __cdecl antlr4::dfa::Vocabulary::Vocabulary(class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > const &,class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > const &)" (??0Vocabulary@dfa@antlr4@@QEAA@AEBV?$vector@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@V?$allocator@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@@std@@0@Z)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: __cdecl antlr4::dfa::Vocabulary::Vocabulary(class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > const &,class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > const &)" (??0Vocabulary@dfa@antlr4@@QEAA@AEBV?$vector@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@V?$allocator@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@@std@@0@Z)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: __cdecl antlr4::dfa::Vocabulary::Vocabulary(class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > const &,class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > const &)" (??0Vocabulary@dfa@antlr4@@QEAA@AEBV?$vector@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@V?$allocator@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@@std@@0@Z)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: __cdecl antlr4::dfa::Vocabulary::Vocabulary(class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > const &,class std::vector<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> >,class std::allocator<class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > > > const &)" (??0Vocabulary@dfa@antlr4@@QEAA@AEBV?$vector@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@V?$allocator@V?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@@2@@std@@0@Z)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: virtual class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > __cdecl antlr4::dfa::Vocabulary::getLiteralName(unsigned __int64)const " (?getLiteralName@Vocabulary@dfa@antlr4@@UEBA?AV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@Z)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > __cdecl antlr4::dfa::Vocabulary::getLiteralName(unsigned __int64)const " (?getLiteralName@Vocabulary@dfa@antlr4@@UEBA?AV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@Z)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: virtual class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > __cdecl antlr4::dfa::Vocabulary::getLiteralName(unsigned __int64)const " (?getLiteralName@Vocabulary@dfa@antlr4@@UEBA?AV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@Z)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > __cdecl antlr4::dfa::Vocabulary::getLiteralName(unsigned __int64)const " (?getLiteralName@Vocabulary@dfa@antlr4@@UEBA?AV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@Z)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: virtual class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > __cdecl antlr4::dfa::Vocabulary::getLiteralName(unsigned __int64)const " (?getLiteralName@Vocabulary@dfa@antlr4@@UEBA?AV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@Z)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > __cdecl antlr4::dfa::Vocabulary::getLiteralName(unsigned __int64)const " (?getLiteralName@Vocabulary@dfa@antlr4@@UEBA?AV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@Z)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: virtual class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > __cdecl antlr4::dfa::Vocabulary::getSymbolicName(unsigned __int64)const " (?getSymbolicName@Vocabulary@dfa@antlr4@@UEBA?AV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@Z)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > __cdecl antlr4::dfa::Vocabulary::getSymbolicName(unsigned __int64)const " (?getSymbolicName@Vocabulary@dfa@antlr4@@UEBA?AV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@Z)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: virtual class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > __cdecl antlr4::dfa::Vocabulary::getSymbolicName(unsigned __int64)const " (?getSymbolicName@Vocabulary@dfa@antlr4@@UEBA?AV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@Z)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > __cdecl antlr4::dfa::Vocabulary::getSymbolicName(unsigned __int64)const " (?getSymbolicName@Vocabulary@dfa@antlr4@@UEBA?AV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@Z)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: virtual class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > __cdecl antlr4::dfa::Vocabulary::getSymbolicName(unsigned __int64)const " (?getSymbolicName@Vocabulary@dfa@antlr4@@UEBA?AV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@Z)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > __cdecl antlr4::dfa::Vocabulary::getSymbolicName(unsigned __int64)const " (?getSymbolicName@Vocabulary@dfa@antlr4@@UEBA?AV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@_K@Z)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::atn::ATNDeserializer::~ATNDeserializer(void)" (??1ATNDeserializer@atn@antlr4@@UEAA@XZ)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::atn::ATNDeserializer::~ATNDeserializer(void)" (??1ATNDeserializer@atn@antlr4@@UEAA@XZ)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::atn::ATNDeserializer::~ATNDeserializer(void)" (??1ATNDeserializer@atn@antlr4@@UEAA@XZ)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::atn::ATNDeserializer::~ATNDeserializer(void)" (??1ATNDeserializer@atn@antlr4@@UEAA@XZ)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::atn::ATNDeserializer::~ATNDeserializer(void)" (??1ATNDeserializer@atn@antlr4@@UEAA@XZ)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlr4::atn::ATNDeserializer::~ATNDeserializer(void)" (??1ATNDeserializer@atn@antlr4@@UEAA@XZ)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::atn::ATN __cdecl antlr4::atn::ATNDeserializer::deserialize(class std::vector<unsigned short,class std::allocator<unsigned short> > const &)" (?deserialize@ATNDeserializer@atn@antlr4@@UEAA?AVATN@23@AEBV?$vector@GV?$allocator@G@std@@@std@@@Z)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::atn::ATN __cdecl antlr4::atn::ATNDeserializer::deserialize(class std::vector<unsigned short,class std::allocator<unsigned short> > const &)" (?deserialize@ATNDeserializer@atn@antlr4@@UEAA?AVATN@23@AEBV?$vector@GV?$allocator@G@std@@@std@@@Z)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::atn::ATN __cdecl antlr4::atn::ATNDeserializer::deserialize(class std::vector<unsigned short,class std::allocator<unsigned short> > const &)" (?deserialize@ATNDeserializer@atn@antlr4@@UEAA?AVATN@23@AEBV?$vector@GV?$allocator@G@std@@@std@@@Z)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::atn::ATN __cdecl antlr4::atn::ATNDeserializer::deserialize(class std::vector<unsigned short,class std::allocator<unsigned short> > const &)" (?deserialize@ATNDeserializer@atn@antlr4@@UEAA?AVATN@23@AEBV?$vector@GV?$allocator@G@std@@@std@@@Z)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::atn::ATN __cdecl antlr4::atn::ATNDeserializer::deserialize(class std::vector<unsigned short,class std::allocator<unsigned short> > const &)" (?deserialize@ATNDeserializer@atn@antlr4@@UEAA?AVATN@23@AEBV?$vector@GV?$allocator@G@std@@@std@@@Z)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::atn::ATN __cdecl antlr4::atn::ATNDeserializer::deserialize(class std::vector<unsigned short,class std::allocator<unsigned short> > const &)" (?deserialize@ATNDeserializer@atn@antlr4@@UEAA?AVATN@23@AEBV?$vector@GV?$allocator@G@std@@@std@@@Z)
6>svConvertor.lib(sv2017Lexer.obj) : error LNK2019: unresolved external symbol "public: __cdecl antlr4::atn::LexerATNSimulator::LexerATNSimulator(class antlr4::Lexer *,class antlr4::atn::ATN const &,class std::vector<class antlr4::dfa::DFA,class std::allocator<class antlr4::dfa::DFA> > &,class std::unordered_set<class std::shared_ptr<class antlr4::atn::PredictionContext>,struct antlr4::atn::PredictionContextHasher,struct antlr4::atn::PredictionContextComparer,class std::allocator<class std::shared_ptr<class antlr4::atn::PredictionContext> > > &)" (??0LexerATNSimulator@atn@antlr4@@QEAA@PEAVLexer@2@AEBVATN@12@AEAV?$vector@VDFA@dfa@antlr4@@V?$allocator@VDFA@dfa@antlr4@@@std@@@std@@AEAV?$unordered_set@V?$shared_ptr@VPredictionContext@atn@antlr4@@@std@@UPredictionContextHasher@atn@antlr4@@UPredictionContextComparer@45@V?$allocator@V?$shared_ptr@VPredictionContext@atn@antlr4@@@std@@@2@@6@@Z) referenced in function "public: __cdecl sv2017_antlr::sv2017Lexer::sv2017Lexer(class antlr4::CharStream *)" (??0sv2017Lexer@sv2017_antlr@@QEAA@PEAVCharStream@antlr4@@@Z)
6>verilogPreproc.lib(verilogPreprocLexer.obj) : error LNK2001: unresolved external symbol "public: __cdecl antlr4::atn::LexerATNSimulator::LexerATNSimulator(class antlr4::Lexer *,class antlr4::atn::ATN const &,class std::vector<class antlr4::dfa::DFA,class std::allocator<class antlr4::dfa::DFA> > &,class std::unordered_set<class std::shared_ptr<class antlr4::atn::PredictionContext>,struct antlr4::atn::PredictionContextHasher,struct antlr4::atn::PredictionContextComparer,class std::allocator<class std::shared_ptr<class antlr4::atn::PredictionContext> > > &)" (??0LexerATNSimulator@atn@antlr4@@QEAA@PEAVLexer@2@AEBVATN@12@AEAV?$vector@VDFA@dfa@antlr4@@V?$allocator@VDFA@dfa@antlr4@@@std@@@std@@AEAV?$unordered_set@V?$shared_ptr@VPredictionContext@atn@antlr4@@@std@@UPredictionContextHasher@atn@antlr4@@UPredictionContextComparer@45@V?$allocator@V?$shared_ptr@VPredictionContext@atn@antlr4@@@std@@@2@@6@@Z)
6>vhdlConvertor.lib(vhdlLexer.obj) : error LNK2001: unresolved external symbol "public: __cdecl antlr4::atn::LexerATNSimulator::LexerATNSimulator(class antlr4::Lexer *,class antlr4::atn::ATN const &,class std::vector<class antlr4::dfa::DFA,class std::allocator<class antlr4::dfa::DFA> > &,class std::unordered_set<class std::shared_ptr<class antlr4::atn::PredictionContext>,struct antlr4::atn::PredictionContextHasher,struct antlr4::atn::PredictionContextComparer,class std::allocator<class std::shared_ptr<class antlr4::atn::PredictionContext> > > &)" (??0LexerATNSimulator@atn@antlr4@@QEAA@PEAVLexer@2@AEBVATN@12@AEAV?$vector@VDFA@dfa@antlr4@@V?$allocator@VDFA@dfa@antlr4@@@std@@@std@@AEAV?$unordered_set@V?$shared_ptr@VPredictionContext@atn@antlr4@@@std@@UPredictionContextHasher@atn@antlr4@@UPredictionContextComparer@45@V?$allocator@V?$shared_ptr@VPredictionContext@atn@antlr4@@@std@@@2@@6@@Z)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual struct antlrcpp::Any __cdecl antlr4::RuleContext::accept(class antlr4::tree::ParseTreeVisitor *)" (?accept@RuleContext@antlr4@@UEAA?AUAny@antlrcpp@@PEAVParseTreeVisitor@tree@2@@Z)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual struct antlrcpp::Any __cdecl antlr4::RuleContext::accept(class antlr4::tree::ParseTreeVisitor *)" (?accept@RuleContext@antlr4@@UEAA?AUAny@antlrcpp@@PEAVParseTreeVisitor@tree@2@@Z)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual struct antlrcpp::Any __cdecl antlr4::RuleContext::accept(class antlr4::tree::ParseTreeVisitor *)" (?accept@RuleContext@antlr4@@UEAA?AUAny@antlrcpp@@PEAVParseTreeVisitor@tree@2@@Z)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2019: unresolved external symbol "struct antlrcpp::FinalAction __cdecl antlrcpp::finally(class std::function<void __cdecl(void)>)" (?finally@antlrcpp@@YA?AUFinalAction@1@V?$function@$$A6AXXZ@std@@@Z) referenced in function "public: class sv2017_antlr::sv2017Parser::Action_blockContext * __cdecl sv2017_antlr::sv2017Parser::action_block(void)" (?action_block@sv2017Parser@sv2017_antlr@@QEAAPEAVAction_blockContext@12@XZ)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "struct antlrcpp::FinalAction __cdecl antlrcpp::finally(class std::function<void __cdecl(void)>)" (?finally@antlrcpp@@YA?AUFinalAction@1@V?$function@$$A6AXXZ@std@@@Z)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "struct antlrcpp::FinalAction __cdecl antlrcpp::finally(class std::function<void __cdecl(void)>)" (?finally@antlrcpp@@YA?AUFinalAction@1@V?$function@$$A6AXXZ@std@@@Z)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual void __cdecl antlr4::ParserRuleContext::removeLastChild(void)" (?removeLastChild@ParserRuleContext@antlr4@@UEAAXXZ)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual void __cdecl antlr4::ParserRuleContext::removeLastChild(void)" (?removeLastChild@ParserRuleContext@antlr4@@UEAAXXZ)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual void __cdecl antlr4::ParserRuleContext::removeLastChild(void)" (?removeLastChild@ParserRuleContext@antlr4@@UEAAXXZ)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::tree::TerminalNode * __cdecl antlr4::ParserRuleContext::getToken(unsigned __int64,unsigned __int64)" (?getToken@ParserRuleContext@antlr4@@UEAAPEAVTerminalNode@tree@2@_K0@Z)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::tree::TerminalNode * __cdecl antlr4::ParserRuleContext::getToken(unsigned __int64,unsigned __int64)" (?getToken@ParserRuleContext@antlr4@@UEAAPEAVTerminalNode@tree@2@_K0@Z)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::tree::TerminalNode * __cdecl antlr4::ParserRuleContext::getToken(unsigned __int64,unsigned __int64)" (?getToken@ParserRuleContext@antlr4@@UEAAPEAVTerminalNode@tree@2@_K0@Z)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual class std::vector<class antlr4::tree::TerminalNode *,class std::allocator<class antlr4::tree::TerminalNode *> > __cdecl antlr4::ParserRuleContext::getTokens(unsigned __int64)" (?getTokens@ParserRuleContext@antlr4@@UEAA?AV?$vector@PEAVTerminalNode@tree@antlr4@@V?$allocator@PEAVTerminalNode@tree@antlr4@@@std@@@std@@_K@Z)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual class std::vector<class antlr4::tree::TerminalNode *,class std::allocator<class antlr4::tree::TerminalNode *> > __cdecl antlr4::ParserRuleContext::getTokens(unsigned __int64)" (?getTokens@ParserRuleContext@antlr4@@UEAA?AV?$vector@PEAVTerminalNode@tree@antlr4@@V?$allocator@PEAVTerminalNode@tree@antlr4@@@std@@@std@@_K@Z)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual class std::vector<class antlr4::tree::TerminalNode *,class std::allocator<class antlr4::tree::TerminalNode *> > __cdecl antlr4::ParserRuleContext::getTokens(unsigned __int64)" (?getTokens@ParserRuleContext@antlr4@@UEAA?AV?$vector@PEAVTerminalNode@tree@antlr4@@V?$allocator@PEAVTerminalNode@tree@antlr4@@@std@@@std@@_K@Z)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::Token * __cdecl antlr4::ParserRuleContext::getStart(void)" (?getStart@ParserRuleContext@antlr4@@UEAAPEAVToken@2@XZ)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::Token * __cdecl antlr4::ParserRuleContext::getStart(void)" (?getStart@ParserRuleContext@antlr4@@UEAAPEAVToken@2@XZ)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::Token * __cdecl antlr4::ParserRuleContext::getStart(void)" (?getStart@ParserRuleContext@antlr4@@UEAAPEAVToken@2@XZ)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::Token * __cdecl antlr4::ParserRuleContext::getStop(void)" (?getStop@ParserRuleContext@antlr4@@UEAAPEAVToken@2@XZ)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::Token * __cdecl antlr4::ParserRuleContext::getStop(void)" (?getStop@ParserRuleContext@antlr4@@UEAAPEAVToken@2@XZ)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: virtual class antlr4::Token * __cdecl antlr4::ParserRuleContext::getStop(void)" (?getStop@ParserRuleContext@antlr4@@UEAAPEAVToken@2@XZ)
6>svConvertor.lib(sv2017Parser.obj) : error LNK2019: unresolved external symbol "public: __cdecl antlr4::atn::ParserATNSimulator::ParserATNSimulator(class antlr4::Parser *,class antlr4::atn::ATN const &,class std::vector<class antlr4::dfa::DFA,class std::allocator<class antlr4::dfa::DFA> > &,class std::unordered_set<class std::shared_ptr<class antlr4::atn::PredictionContext>,struct antlr4::atn::PredictionContextHasher,struct antlr4::atn::PredictionContextComparer,class std::allocator<class std::shared_ptr<class antlr4::atn::PredictionContext> > > &)" (??0ParserATNSimulator@atn@antlr4@@QEAA@PEAVParser@2@AEBVATN@12@AEAV?$vector@VDFA@dfa@antlr4@@V?$allocator@VDFA@dfa@antlr4@@@std@@@std@@AEAV?$unordered_set@V?$shared_ptr@VPredictionContext@atn@antlr4@@@std@@UPredictionContextHasher@atn@antlr4@@UPredictionContextComparer@45@V?$allocator@V?$shared_ptr@VPredictionContext@atn@antlr4@@@std@@@2@@6@@Z) referenced in function "public: __cdecl sv2017_antlr::sv2017Parser::sv2017Parser(class antlr4::TokenStream *)" (??0sv2017Parser@sv2017_antlr@@QEAA@PEAVTokenStream@antlr4@@@Z)
6>verilogPreproc.lib(verilogPreprocParser.obj) : error LNK2001: unresolved external symbol "public: __cdecl antlr4::atn::ParserATNSimulator::ParserATNSimulator(class antlr4::Parser *,class antlr4::atn::ATN const &,class std::vector<class antlr4::dfa::DFA,class std::allocator<class antlr4::dfa::DFA> > &,class std::unordered_set<class std::shared_ptr<class antlr4::atn::PredictionContext>,struct antlr4::atn::PredictionContextHasher,struct antlr4::atn::PredictionContextComparer,class std::allocator<class std::shared_ptr<class antlr4::atn::PredictionContext> > > &)" (??0ParserATNSimulator@atn@antlr4@@QEAA@PEAVParser@2@AEBVATN@12@AEAV?$vector@VDFA@dfa@antlr4@@V?$allocator@VDFA@dfa@antlr4@@@std@@@std@@AEAV?$unordered_set@V?$shared_ptr@VPredictionContext@atn@antlr4@@@std@@UPredictionContextHasher@atn@antlr4@@UPredictionContextComparer@45@V?$allocator@V?$shared_ptr@VPredictionContext@atn@antlr4@@@std@@@2@@6@@Z)
6>vhdlConvertor.lib(vhdlParser.obj) : error LNK2001: unresolved external symbol "public: __cdecl antlr4::atn::ParserATNSimulator::ParserATNSimulator(class antlr4::Parser *,class antlr4::atn::ATN const &,class std::vector<class antlr4::dfa::DFA,class std::allocator<class antlr4::dfa::DFA> > &,class std::unordered_set<class std::shared_ptr<class antlr4::atn::PredictionContext>,struct antlr4::atn::PredictionContextHasher,struct antlr4::atn::PredictionContextComparer,class std::allocator<class std::shared_ptr<class antlr4::atn::PredictionContext> > > &)" (??0ParserATNSimulator@atn@antlr4@@QEAA@PEAVParser@2@AEBVATN@12@AEAV?$vector@VDFA@dfa@antlr4@@V?$allocator@VDFA@dfa@antlr4@@@std@@@std@@AEAV?$unordered_set@V?$shared_ptr@VPredictionContext@atn@antlr4@@@std@@UPredictionContextHasher@atn@antlr4@@UPredictionContextComparer@45@V?$allocator@V?$shared_ptr@VPredictionContext@atn@antlr4@@@std@@@2@@6@@Z)
6>verilogPreproc.lib(verilogPreprocContainer.obj) : error LNK2019: unresolved external symbol "public: virtual __cdecl antlrcpp::Any::~Any(void)" (??1Any@antlrcpp@@UEAA@XZ) referenced in function "public: void __cdecl hdlConvertor::verilog_pp::VerilogPreprocContainer::run_preproc(class antlr4::ANTLRInputStream &,bool,class std::basic_string<char,struct std::char_traits<char>,class std::allocator<char> > const &,class hdlConvertor::verilog_pp::VerilogPreprocOutBuffer &)" (?run_preproc@VerilogPreprocContainer@verilog_pp@hdlConvertor@@QEAAXAEAVANTLRInputStream@antlr4@@_NAEBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@AEAVVerilogPreprocOutBuffer@23@@Z)
6>verilogPreproc.lib(verilogPreproc.obj) : error LNK2001: unresolved external symbol "public: virtual __cdecl antlrcpp::Any::~Any(void)" (??1Any@antlrcpp@@UEAA@XZ)
6>D:\Uni\hdlConvertor\build2\src\Release\hdlConvertor.dll : fatal error LNK1120: 25 unresolved externals
6>Done building project "hdlConvertor_cpp_shared.vcxproj" -- FAILED.
7>------ Build started: Project: ALL_BUILD, Configuration: Release x64 ------
7>1>Building Custom Rule D:/Uni/hdlConvertor/CMakeLists.txt
========== Build: 6 succeeded, 1 failed, 0 up-to-date, 0 skipped ==========
Nic30 commented 1 year ago

Hello, this can be because of 2 things.

  1. You are linking with a different ANTLR4 library than you used headers for during compilation.
  2. There is an issue with linking on windows in hdlConvertor.

First lets check 1. , then please provide info about how you are building on windows (compiler version, WSL?, cmake version, antrl4 instal paths and version, also try to rebuild it if you did not done so) We can not test windows build with every commit because there is no free CI with sufficient amount of ram so there may be some bug, but the person sitting just next to me is using this library on WSL (among others) and it seems to run just fine.

TitanCheat commented 1 year ago

Hello, this can be because of 2 things.

  1. You are linking with a different ANTLR4 library than you used headers for during compilation.
  2. There is an issue with linking on windows in hdlConvertor.

First lets check 1. , then please provide info about how you are building on windows (compiler version, WSL?, cmake version, antrl4 instal paths and version, also try to rebuild it if you did not done so) We can not test windows build with every commit because there is no free CI with sufficient amount of ram so there may be some bug, but the person sitting just next to me is using this library on WSL (among others) and it seems to run just fine.

Thanks. I used the latest header and library for antlr4 and now the error is gone.