OSVVM / OSVVM-Scripts

OSVVM project simulation scripts. Scripts are tedious. These scripts simplify the steps to compile your project for simulation
Other
8 stars 13 forks source link

Where to set -fsynopsys for GHDL #19

Closed Paebbels closed 1 year ago

Paebbels commented 2 years ago

OSVVM-Scripts got two new configuration options:

E.g. if we want to configure -fsynopsys for GHDL, what option should be used? Is it a VHDL option or an extended option for analyze?

I think it's a VHDL option, as it doesn't apply to Verilog.


Like in #11, it's also unclear how to set single and multiple options with this command.

Example:


How to clear these options? Is it SetVhdlAnalyzeOptions "" or is a special clear command needed from OSVVM?

JimLewis commented 2 years ago

WRT multiple options, options are a string value. The {*} before the variable in the call to GHDL causes TCL to split the string into its pieces.

JimLewis commented 2 years ago

WRT -fsynopsys, one of the goals is that the OSVVM makes the scripts run the same on all simulators. Since other simulators automatically include std_logic_arith and std_logic_unsigned in the IEEE library, then maybe to make GHDL equivalent to other simulators, OSVVM should always set -fsynopsys?

Paebbels commented 2 years ago

These packages are not canonical. So enabling them by default is not correct.

Don't make the same mistakes like other tools.

If you want to hide this flag, you could add an option to the scripts to run in a pedantic vs. relaxed mode. This might enable/disable multiple of these switch. But enabling must be user driven.

JimLewis commented 1 year ago

fixed. Documentation coming in 2022.09.