OSVVM / OSVVM-Scripts

OSVVM project simulation scripts. Scripts are tedious. These scripts simplify the steps to compile your project for simulation
Other
10 stars 14 forks source link

NVC simulator support #31

Closed amb5l closed 1 year ago

amb5l commented 1 year ago

Adds support for the NVC open source VHDL simulator.