OSVVM / OSVVM-Scripts

OSVVM project simulation scripts. Scripts are tedious. These scripts simplify the steps to compile your project for simulation
Other
8 stars 13 forks source link

TYPO in CreateBuildYamlReports.tcl #45

Closed KrzysztofZyla closed 1 year ago

KrzysztofZyla commented 1 year ago

In the .tcl file we can find "Elasped time:".

JimLewis commented 1 year ago

Thank you. Fixed in Dev