OSVVM / OSVVM-Scripts

OSVVM project simulation scripts. Scripts are tedious. These scripts simplify the steps to compile your project for simulation
Other
8 stars 13 forks source link

Dev #7

Closed NJDFan closed 3 years ago

NJDFan commented 3 years ago

I had intended this to be a simple small change where I just added Vivado as a possible target, just for the sake of unifying project files between synthesis and simulation. I ran into a problem, though, when trying to call the OSVVM scripts from my own Vivado Tcl which happened in namespaces. OSVVM Scripting did everything against the global namespace, and assuming it was executing in the global namespace. If that assumption was wrong, it got tied in knots.

So that's the bulk of what I've changed here. I changed everything to force itself into the top-level ::osvvm Tcl namespace, and then only export the named procedures. Not knowing who might be using what of those procs I exported nearly everything; someone with more insight than me could profitably tighten that list up (end of OsvvmProjectScripts.tcl) to keep the procs that were meant to be purely internal internal.

This is tested and working on ModelSim 2021.1 under Linux. I modified the other vendor-specific files as well, but didn't have the ability to test them. Other modifications I snuck in:

JimLewis commented 3 years ago

This has been merged on the Dev branch. Will be released with the next revision. I have tested it in Aldec and Mentor tools. Still need to test in GHDL.

NJDFan commented 3 years ago

Great, thanks. I tried it with GHDL, but ran into trouble getting GHDL scripting to work under Linux at all, re: line 52, which is variable console "/dev/pty0"

Under Linux I have no /dev/pty0. I suspect the right answer under Linux is to have $console be null so that the pipeline just feeds tee -a $GHDL_TRANSCRIPT_FILE but haven't gotten a chance to get that squared away yet.

On Tue, Apr 20, 2021 at 9:37 PM JimLewis @.***> wrote:

This has been merged on the Dev branch. Will be released with the next revision. I have tested it in Aldec and Mentor tools. Still need to test in GHDL.

— You are receiving this because you authored the thread. Reply to this email directly, view it on GitHub https://github.com/OSVVM/OSVVM-Scripts/pull/7#issuecomment-823769753, or unsubscribe https://github.com/notifications/unsubscribe-auth/ACBCACBAGTJJ2XJDT4JMLQDTJZI7HANCNFSM42LTARBA .