OSVVM / UART

OSVVM UART Verification Components. Uart Transmitter with error injection for parity, stop, and break errors. UART Receiver verification component with error handling for parity, stop, and break errors.
Other
8 stars 7 forks source link

UartDataHandler : UARTTB_PARITY_NONE issue #4

Closed brucebenedictus closed 1 year ago

brucebenedictus commented 1 year ago

When UARTTB_PARITY_NONE is configured the RxParity variable will be unassigned and make ErrorMode(UARTTB_BREAK_INDEX) to be unknown.

JimLewis commented 1 year ago

Thank you.

JimLewis commented 1 year ago

I have merged this into dev. It will be merged into main during the next release.