OpenResearchInstitute / adi_adrv9371_zc706

adi's adrv9371 example integration with DVB-S2 IP
9 stars 4 forks source link

Missing Files and Hardcoded Path #1

Open Abraxas3d opened 2 years ago

Abraxas3d commented 2 years ago

Here are the errors discussed on the fpga channel in Slack. These errors prevent building the project.

Missing files:

abraxas3d@chococat: \~/adi-encoder/adi_adrv9371_zc706/hdl/library/interfaces$ ls interfaces_ip.tcl Makefile

abraxas3d@chococat: ~/adi-encoder-test/hdl/library/interfaces$ ls -lrt total 24 -rw-rw-r-- 1 abraxas3d abraxas3d 1720 Jun 19 04:14 Makefile -rw-rw-r-- 1 abraxas3d abraxas3d 4089 Jun 19 04:14 interfaces_ip.tcl -rw-rw-r-- 1 abraxas3d abraxas3d 796 Jun 19 04:14 fifo_wr.xml -rw-rw-r-- 1 abraxas3d abraxas3d 3311 Jun 19 04:14 fifo_wr_rtl.xml -rw-rw-r-- 1 abraxas3d abraxas3d 794 Jun 19 04:14 fifo_rd.xml -rw-rw-r-- 1 abraxas3d abraxas3d 2811 Jun 19 04:14 fifo_rd_rtl.xml

Copied all of these over, and build error resolved.

Missing file:

"encoder/adi_adrv9371_zc706/hdl/projects/common/zc706/zc706_plddr3_mig.prj": no such file or directory

abraxas3d@chococat:\~/adi-encoder-test/hdl/projects/common/zc706$ ls zc706_plddr3_adcfifo_bd.tcl zc706_plddr3_dacfifo_bd.tcl zc706_system_bd.tcl zc706_plddr3_constr.xdc zc706_plddr3_mig.prj zc706_system_constr.xdc abraxas3d@chococat:~/adi-encoder-test/hdl/projects/common/zc706$ cd /home/abraxas3d/adi-encoder/adi_adrv9371_zc706/hdl/projects/common/zc706/

abraxas3d@chococat:\~/adi-encoder/adi_adrv9371_zc706/hdl/projects/common/zc706$ ls zc706_plddr3_adcfifo_bd.tcl zc706_plddr3_constr.xdc zc706_plddr3_dacfifo_bd.tcl zc706_system_bd.tcl zc706_system_constr.xdc abraxas3d@chococat:~/adi-encoder/adi_adrv9371_zc706/hdl/projects/common/zc706$

File was copied over and the build error resolved.

Hardcoded path:

abraxas3d@chococat:\~/adi-encoder/adi_adrv9371_zc706/hdl/projects/adrv9371x/common$ cat adrv9371x_bd.tcl | grep anshul source /home/anshul/phase4/adi_adrv9371_zc706/dvb_fpga/build/vivado/add_dvbs2_files.tcl add_files /home/anshul/phase4/adi_adrv9371_zc706/dvb_fpga/build/vivado/dvbs2_encoder_wrapper.vhd abraxas3d@chococat:~/adi-encoder/adi_adrv9371_zc706/hdl/projects/adrv9371x/common$

Path was adjusted, but only with a relative path. This is fragile and should probably be done better. But, the build error resolved.

Abraxas3d commented 2 years ago

Putting the file - project - write tcl results that show the new connections to the encoder here.

connect_bd_net -net axi_ad9371_tx_dma_m_axis_data [get_bd_pins axi_ad9371_tx_dma/m_axis_data] [get_bd_pins dvbs2_encoder_wrapper_0/s_axis_tdata] connect_bd_net -net axi_ad9371_tx_dma_m_axis_last [get_bd_pins axi_ad9371_tx_dma/m_axis_last] [get_bd_pins dvbs2_encoder_wrapper_0/s_axis_tlast] connect_bd_net -net axi_ad9371_tx_dma_m_axis_valid [get_bd_pins axi_ad9371_tx_dma/m_axis_valid] [get_bd_pins dvbs2_encoder_wrapper_0/s_axis_tvalid]

connect_bd_net -net dvbs2_encoder_wrapper_0_m_axis_tdata [get_bd_pins axi_ad9371_dacfifo/dma_data] [get_bd_pins dvbs2_encoder_wrapper_0/m_axis_tdata] connect_bd_net -net dvbs2_encoder_wrapper_0_m_axis_tlast [get_bd_pins axi_ad9371_dacfifo/dma_xfer_last] [get_bd_pins dvbs2_encoder_wrapper_0/m_axis_tlast] connect_bd_net -net dvbs2_encoder_wrapper_0_m_axis_tvalid [get_bd_pins axi_ad9371_dacfifo/dma_valid] [get_bd_pins dvbs2_encoder_wrapper_0/m_axis_tvalid] connect_bd_net -net dvbs2_encoder_wrapper_0_s_axis_tready [get_bd_pins axi_ad9371_tx_dma/m_axis_ready] [get_bd_pins dvbs2_encoder_wrapper_0/s_axis_tready]

connect_bd_net -net axi_ad9371_dacfifo_dma_ready [get_bd_pins axi_ad9371_dacfifo/dma_ready] [get_bd_pins dvbs2_encoder_wrapper_0/m_axis_tready]
Abraxas3d commented 2 years ago

I changed the hardcoded paths.

Abraxas3d commented 2 years ago

I added the missing files.

Abraxas3d commented 2 years ago

correct-build-errors branch builds successfully.

Abraxas3d commented 2 years ago

Set up another branch to convert hdl/ to a submodule and ensure the right branch from ADI is used. I'll be back this coming Monday, but if I make any progress in the meantime, I'll post it.