OpenTimer / Parser-Verilog

A Standalone Structural Verilog Parser
MIT License
79 stars 33 forks source link

Got syntax error on using '&' or '~' #15

Open Rafayelyan opened 5 months ago

Rafayelyan commented 5 months ago

module and_gate ( input a, input b, output out ); assign out = a & b;

endmodule