OpenXiangShan / XiangShan-doc

Documentation for XiangShan
https://xiangshan-doc.readthedocs.io
Creative Commons Attribution 4.0 International
329 stars 126 forks source link

The description of VCS Simulation +dump-wave arg is out of date #74

Closed cebarobot closed 6 months ago

cebarobot commented 6 months ago

Now VCS simulation support fsdb for waveform format and replace +dump-wave with +dump-wave=[vcd,fsdb].