Paebbels / pyVHDLParser

Streaming based VHDL parser.
https://paebbels.github.io/pyVHDLParser/
Other
78 stars 15 forks source link

Context clause not supported #16

Open avelure opened 3 years ago

avelure commented 3 years ago

The following code fails to parse with VHDLParser block-stream context.vhd

library test_lib;
context test_lib.test_context;

With the output

================================================================================
                        pyVHDLParser - Test Application
================================================================================
[StartOfDocumentBlock]
[Library.StartBlock                                 'library '                                                     at (line:   1, col:  1) .. (line:   1, col:  8)]
[Library.LibraryNameBlock                           'test_lib'                                                     at (line:   1, col:  9) .. (line:   1, col: 17)]
[Library.EndBlock                                   ';'                                                            at (line:   1, col: 17) .. (line:   1, col: 17)]
[LinebreakBlock                                                                                                    at (line:   1, col: 18) .. (line:   1, col: 18)]
ERROR: Expected whitespace after context name (identifier).

From the context.py code it looks like only context declaration is implemented.

Paebbels commented 3 years ago

Oh, you're right a missed a complete statement kind.